Open Access. Powered by Scholars. Published by Universities.®

Electronic Devices and Semiconductor Manufacturing

Institution
Keyword
Publication Year
Publication
Publication Type
File Type

Articles 31 - 60 of 97

Full-Text Articles in VLSI and Circuits, Embedded and Hardware Systems

Multivariate Analysis For The Quantification Of Transdermal Volatile Organic Compounds In Humans By Proton Exchange Membrane Fuel Cell System, Ahmed Hasnain Jalal Nov 2018

Multivariate Analysis For The Quantification Of Transdermal Volatile Organic Compounds In Humans By Proton Exchange Membrane Fuel Cell System, Ahmed Hasnain Jalal

FIU Electronic Theses and Dissertations

In this research, a proton exchange membrane fuel cell (PEMFC) sensor was investigated for specific detection of volatile organic compounds (VOCs) for point-of-care (POC) diagnosis of the physiological conditions of humans. A PEMFC is an electrochemical transducer that converts chemical energy into electrical energy. A Redox reaction takes place at its electrodes whereas the volatile biomolecules (e.g. ethanol) are oxidized at the anode and ambient oxygen is reduced at the cathode. The compounds which were the focus of this investigation were ethanol (C2H5OH) and isoflurane (C3H2ClF5O), but theoretically, the sensor …


Investigating The Effect Of Detecting And Mitigating A Ring Oscillator-Based Hardware Trojan, Lakshmi Ramakrishnan Oct 2018

Investigating The Effect Of Detecting And Mitigating A Ring Oscillator-Based Hardware Trojan, Lakshmi Ramakrishnan

Electrical Engineering Theses and Dissertations

The outsourcing of the manufacturing process of integrated circuits to fabrications plants all over the world has exposed these chips to several security threats, especially at the hardware level. There have been instances of malicious circuitry, such as backdoors, being added to circuits without the knowledge of the chip designers or vendors. Such threats could be immensely powerful and dangerous against confidentiality, among other vulnerabilities.

Defense mechanisms against such attacks have been probed and defense techniques have been developed. But with the passage of time, attack techniques have improved immensely as well. From directly observing the inputs or outputs, adversaries …


Skybridge-3d-Cmos: A Fine-Grained Vertical 3d-Cmos Technology Paving New Direction For 3d Ic, Jiajun Shi Jul 2018

Skybridge-3d-Cmos: A Fine-Grained Vertical 3d-Cmos Technology Paving New Direction For 3d Ic, Jiajun Shi

Doctoral Dissertations

2D CMOS integrated circuit (IC) technology scaling faces severe challenges that result from device scaling limitations, interconnect bottleneck that dominates power and performance, etc. 3D ICs with die-die and layer-layer stacking using Through Silicon Vias (TSVs) and Monolithic Inter-layer Vias (MIVs) have been explored in recent years to generate circuits with considerable interconnect saving for continuing technology scaling. However, these 3D IC technologies still rely on conventional 2D CMOS’s device, circuit and interconnect mindset showing only incremental benefits while adding new challenges reliability issues, robustness of power delivery network design and short-channel effects as technology node scaling. Skybridge-3D-CMOS (S3DC) is …


Analog Signal Processing Solutions And Design Of Memristor-Cmos Analog Co-Processor For Acceleration Of High-Performance Computing Applications, Nihar Athreyas Jul 2018

Analog Signal Processing Solutions And Design Of Memristor-Cmos Analog Co-Processor For Acceleration Of High-Performance Computing Applications, Nihar Athreyas

Doctoral Dissertations

Emerging applications in the field of machine vision, deep learning and scientific simulation require high computational speed and are run on platforms that are size, weight and power constrained. With the transistor scaling coming to an end, existing digital hardware architectures will not be able to meet these ever-increasing demands. Analog computation with its rich set of primitives and inherent parallel architecture can be faster, more efficient and compact for some of these applications. The major contribution of this work is to show that analog processing can be a viable solution to this problem. This is demonstrated in the three …


Parameters Affecting The Resistivity Of Lp-Ebid Deposited Copper Nanowires, Gabriel Smith Jan 2018

Parameters Affecting The Resistivity Of Lp-Ebid Deposited Copper Nanowires, Gabriel Smith

Theses and Dissertations--Electrical and Computer Engineering

Electron Beam Induced Deposition (EBID) is a direct write fabrication process with applications in circuit edit and debug, mask repair, and rapid prototyping. However, it suffers from significant drawbacks, most notably low purity. Work over the last several years has demonstrated that deposition from bulk liquid precursors, rather than organometallic gaseous precursors, results in high purity deposits of low resistivity (LPEBID). In this work, it is shown that the deposits resulting from LP-EBID are only highly conductive when deposited at line doses below 25μC/cm. When the dose exceeds this value, the resulting structure is highly porous providing a poor conductive …


An Exact Analysis For Four-Order Acousto-Optic Bragg Diffraction Which Incorporates Both Incident Light Angle And Sound Frequency Dependencies, Adeyinka Sunday Ademola May 2017

An Exact Analysis For Four-Order Acousto-Optic Bragg Diffraction Which Incorporates Both Incident Light Angle And Sound Frequency Dependencies, Adeyinka Sunday Ademola

Electrical Engineering Theses

This thesis extends the prior work which produced an exact solution to the four-order acousto-optic (AO) Bragg cell with assumed fixed center frequency and with exact Bragg angle incident light. The extension predicts the model that incorporates the dependencies of both the input angle of light and the sound frequency. Specifically, a generalized 4th order linear differential equation (DE), is developed from a simultaneous analysis of four coupled AO system of DEs. Through standard methods, the characteristic roots, which requires solving a quartic equation, is produced. Subsequently, a derived system of homogeneous solutions, which absorbs the roots obtained using …


Design And Test Of A Gate Driver With Variable Drive And Self-Test Capability Implemented In A Silicon Carbide Cmos Process, Matthew Barlow May 2017

Design And Test Of A Gate Driver With Variable Drive And Self-Test Capability Implemented In A Silicon Carbide Cmos Process, Matthew Barlow

Graduate Theses and Dissertations

Discrete silicon carbide (SiC) power devices have long demonstrated abilities that outpace those of standard silicon (Si) parts. The improved physical characteristics allow for faster switching, lower on-resistance, and temperature performance. The capabilities unleashed by these devices allow for higher efficiency switch-mode converters as well as the advance of power electronics into new high-temperature regimes previously unimaginable with silicon devices. While SiC power devices have reached a relative level of maturity, recent work has pushed the temperature boundaries of control electronics further with silicon carbide integrated circuits.

The primary requirement to ensure rapid switching of power MOSFETs was a gate …


The Effect Of Power Supply Ramp Time On Sram Puf's, Abdelrahman T. Elshafiey Mr. Apr 2017

The Effect Of Power Supply Ramp Time On Sram Puf's, Abdelrahman T. Elshafiey Mr.

Electrical and Computer Engineering ETDs

Physical unclonable functions (PUFs) are security primitives that exploit the device mismatches. PUFs are a promising solution for hardware cryptography and key storage. They are used in many security applications including identification, authentication and key generation. SRAM is one of the popular implementations of PUFs. SRAM PUFs offer the advantage, over other PUF constructions, of reusing resources (memories) that already exist in many designs.

In this thesis, for the first time, it is demonstrated that the start-up value of an SRAM PUF could be different depending on the SRAM power supply rising time. An analytical model has been developed to …


Si-Based Germanium-Tin (Gesn) Emitters For Short-Wave Infrared Optoelectronics, Seyed Amir Ghetmiri Dec 2016

Si-Based Germanium-Tin (Gesn) Emitters For Short-Wave Infrared Optoelectronics, Seyed Amir Ghetmiri

Graduate Theses and Dissertations

Conventional integrated electronics have reached a physical limit, and their efficiency has been influenced by the generated heat in the high-density electronic packages. Integrated photonic circuits based on the highly developed Si complementary-metal-oxide-semiconductor (CMOS) infrastructure was proposed as a viable solution; however, Si-based emitters are the most challenging component for the monolithic integrated photonic circuits. The indirect bandgap of silicon and germanium is a bottleneck for the further development of photonic and optoelectronic integrated circuits.

The Ge1-xSnx alloy, a group IV material system compatible with Si CMOS technology, was suggested as a desirable material that theoretically exhibits a direct bandgap …


Compact Modeling Of Sic Insulated Gate Bipolar Transistors, Sonia Perez Aug 2016

Compact Modeling Of Sic Insulated Gate Bipolar Transistors, Sonia Perez

Graduate Theses and Dissertations

This thesis presents a unified (n-channel and p-channel) silicon/silicon carbide Insulated Gate Bipolar Transistor (IGBT) compact model in both MAST and Verilog-A formats. Initially, the existing MAST model mobility equations were updated using recently referenced silicon carbide (SiC) data. The updated MAST model was then verified for each device tested. Specifically, the updated MAST model was verified for the following IGBT devices and operation temperatures: n-channel silicon at 25 ˚C and at 125 ˚C; n-channel SiC at 25 ˚C and at 175 ˚C; and p-channel SiC at 150 ˚C and at 250 ˚C. Verification was performed through capacitance, DC output …


Design And Implementation Of An Integrated Biosensor Platform For Lab-On-A-Chip Diabetic Care Systems, Khandaker Abdullah Al Mamun May 2016

Design And Implementation Of An Integrated Biosensor Platform For Lab-On-A-Chip Diabetic Care Systems, Khandaker Abdullah Al Mamun

Doctoral Dissertations

Recent advances in semiconductor processing and microfabrication techniques allow the implementation of complex microstructures in a single platform or lab on chip. These devices require fewer samples, allow lightweight implementation, and offer high sensitivities. However, the use of these microstructures place stringent performance constraints on sensor readout architecture. In glucose sensing for diabetic patients, portable handheld devices are common, and have demonstrated significant performance improvement over the last decade. Fluctuations in glucose levels with patient physiological conditions are highly unpredictable and glucose monitors often require complex control algorithms along with dynamic physiological data. Recent research has focused on long term …


High Temperature Silicon Carbide Mixed-Signal Circuits For Integrated Control And Data Acquisition, Ashfaqur Rahman Dec 2015

High Temperature Silicon Carbide Mixed-Signal Circuits For Integrated Control And Data Acquisition, Ashfaqur Rahman

Graduate Theses and Dissertations

Wide bandgap semiconductor materials such as gallium nitride (GaN) and silicon carbide have grown in popularity as a substrate for power devices for high temperature and high voltage applications over the last two decades. Recent research has been focused on the design of integrated circuits for protection and control in these wide bandgap materials. The ICs developed in SiC and GaN can not only complement the power devices in high voltage and high frequency applications, but can also be used for standalone high temperature control and data acquisition circuitry.

This dissertation work aims to explore the possibilities in high temperature …


Skybridge: A New Nanoscale 3-D Computing Framework For Future Integrated Circuits, Mostafizur Rahman Nov 2015

Skybridge: A New Nanoscale 3-D Computing Framework For Future Integrated Circuits, Mostafizur Rahman

Doctoral Dissertations

Continuous scaling of CMOS has been the major catalyst in miniaturization of integrated circuits (ICs) and crucial for global socio-economic progress. However, continuing the traditional way of scaling to sub-20nm technologies is proving to be very difficult as MOSFETs are reaching their fundamental performance limits [1] and interconnection bottleneck is dominating IC operational power and performance [2]. Migrating to 3-D, as a way to advance scaling, has been elusive due to inherent customization and manufacturing requirements in CMOS architecture that are incompatible with 3-D organization. Partial attempts with die-die [3] and layer-layer [4] stacking have their own limitations [5]. We …


Phase Locked Loop Integrated Circuit, Scott Buchanan, Jonathan Bonello Jun 2015

Phase Locked Loop Integrated Circuit, Scott Buchanan, Jonathan Bonello

Electrical Engineering

No abstract provided.


Chipper: Capacitive Bed Occupancy Sensing For An Intelligent Alarm Clock, David Levi Jun 2015

Chipper: Capacitive Bed Occupancy Sensing For An Intelligent Alarm Clock, David Levi

Electrical Engineering

What if your alarm clock knew when you got out—and stayed out—of bed? Current alarm clocks happily let you go back to bed after turning them off. In this project, I build an alarm which only stops ringing when you get out bed, and starts ringing again if you lie back in bed.

This project uses capacitance to detect bed occupancy. A person on or near the bed creates a tiny, picofarads level increase in capacitance, as seen by a sensor placed under the mattress. A microprocessor interprets this signal, and also drives an audio alarm. Shielding of the sensor …


Design And Fabrication Techniques Of Devices For Embedded Power Active Contact Lens, Errol Heradio Leon Jun 2015

Design And Fabrication Techniques Of Devices For Embedded Power Active Contact Lens, Errol Heradio Leon

Master's Theses

This thesis designed and fabricated various devices that were interfaced to an IC for an active contact lens that notifies the user of an event by detection of an external wireless signal. The contact lens consisted of an embedded antenna providing communication with a 2.4GHz system, as well as inductive charging at an operating frequency of 13.56 MHz. The lens utilized a CBC005 5µAh thin film battery by Cymbet and a manufactured graphene super capacitor as a power source. The custom integrated circuit (IC) was designed using the On Semiconductor CMOS C5 0.6 µm process to manage …


Architecting Np-Dynamic Skybridge, Jiajun Shi Mar 2015

Architecting Np-Dynamic Skybridge, Jiajun Shi

Masters Theses

With the scaling of technology nodes, modern CMOS integrated circuits face severe fundamental challenges that stem from device scaling limitations, interconnection bottlenecks and increasing manufacturing complexities. These challenges drive researchers to look for revolutionary technologies beyond the end of CMOS roadmap. Towards this end, a new nanoscale 3-D computing fabric for future integrated circuits, Skybridge, has been proposed [1]. In this new fabric, core aspects from device to circuit style, connectivity, thermal management and manufacturing pathway are co-architected in a 3-D fabric-centric manner.

However, the Skybridge fabric uses only n-type transistors in a dynamic circuit style for logic and memory …


Architecting Skybridge-Cmos, Mingyu Li Mar 2015

Architecting Skybridge-Cmos, Mingyu Li

Masters Theses

As the scaling of CMOS approaches fundamental limits, revolutionary technology beyond the end of CMOS roadmap is essential to continue the progress and miniaturization of integrated circuits. Recent research efforts in 3-D circuit integration explore pathways of continuing the scaling by co-designing for device, circuit, connectivity, heat and manufacturing challenges in a 3-D fabric-centric manner. SkyBridge fabric is one such approach that addresses fine-grained integration in 3-D, achieves orders of magnitude benefits over projected scaled 2-D CMOS, and provides a pathway for continuing scaling beyond 2-D CMOS.

However, SkyBridge fabric utilizes only single type transistors in order to reduce manufacture …


Design, Simulation And Implementation Of Three-Phase Bidirectional Dc-Dc Dual Active Bridge Converter Using Sic Mosfets, Tariq Aldawsari Dec 2014

Design, Simulation And Implementation Of Three-Phase Bidirectional Dc-Dc Dual Active Bridge Converter Using Sic Mosfets, Tariq Aldawsari

Graduate Theses and Dissertations

The use of SiC-based martials in fabricating power semiconductor devices has shown more interest than conventional silicon-based. Its promising abilities to improve the performance of power electronic systems made it a valuable choice in building high power DC-DC converters. This thesis presents the design and implementation of a three-phase bidirectional DC-DC Dual Active Bridge using SiC MOSFETs. The proposed circuit is first built in Matlab for simulation analysis. Then a phase shift modulation controller is designed in Simulink to test the simulation circuit. The controls are then integrated through an FPGA to test the prototype. Simulations and experimental results are …


Design Of A High Performance Silicon Carbide Cmos Operational Amplifier, Shaila Amin Bhuyan Dec 2014

Design Of A High Performance Silicon Carbide Cmos Operational Amplifier, Shaila Amin Bhuyan

Graduate Theses and Dissertations

This thesis presents the design, simulation, layout and test results of a silicon carbide (SiC) CMOS two-stage operational amplifier (op amp) with NMOS input stage. The circuit has been designed to provide a stable open-loop voltage gain (60 dB), unity-gain bandwidth (around 5 MHz) and maintain a high CMRR and PSRR within a useful input common mode range over process corners and a wide temperature range (25 °C - 300 °C). Between the two stages a Miller compensation topology is placed to improve the phase margin (around 45°). Due to the comparatively high threshold voltage values of transistors in SiC, …


A Low-Power Approach For Front End Biological Signal Conditioning, Logan Smith Taylor Dec 2014

A Low-Power Approach For Front End Biological Signal Conditioning, Logan Smith Taylor

Masters Theses

In a lab-on-a-chip (LOC) application, the measurement of small analog signals such as local temperature variation often involves detection of very low-level signals in a noisy micro-scale environment. This is true for other biomedical monitoring systems as well. These systems observe various physiological parameters or electrochemical reactions that need to be tracked electrically. For temperature measurement pyroelectric transducers represent an efficient solution in terms of speed, sensitivity, and scale of integration, especially when prompt and accurate temperature monitoring is desired.

The ability to perform laboratory operations on a small scale using miniaturized LOC devices is a promising biosensing technique. The …


Design And Evaluation Of An L-Band Current-Mode Class-D Power Amplifier Integrated Circuit, Michael J. Shusta Aug 2014

Design And Evaluation Of An L-Band Current-Mode Class-D Power Amplifier Integrated Circuit, Michael J. Shusta

Masters Theses

Power amplifiers (PAs) convert energy from DC to high frequencies in all radio and microwave transmitter systems be they wireless base stations, handsets, radars, heaters, and so on. PAs are the dominant consumers of energy in these systems and, therefore, the dominant sources of system cost and inefficiency. Research has focused on efficient solid-state PA circuit topologies and their optimization since the 1960s. The 2000s saw the current-mode class-D (CMCD) topology, potentially suitable for today's wireless communications systems, show promise in the UHF frequency band. This thesis describes the design and testing of a high-efficiency CMCD amplifier with an integrated …


Wave-Shaped Mask Of Fabricating Nano-Scaled Structure, Fang-Tzu Chuang Aug 2014

Wave-Shaped Mask Of Fabricating Nano-Scaled Structure, Fang-Tzu Chuang

Fang-Tzu Chuang

A wave-shaped mask for fabricating a nano-scale structure is disclosed. The wave-shaped mask comprises an elastomeric transparent substrate having an upper surface and a lower surface, and a light-penetrable thin film layer disposed on the upper surface of the elastomeric transparent substrate. The upper surface of the elastomeric transparent substrate and the light-penetrable thin film layer are in a periodic wave shape, and the lower surface of the elastomeric transparent substrate is in a plate shape.


Low Voltage Cmos Sar Adc Design, Ryan Hunt Jun 2014

Low Voltage Cmos Sar Adc Design, Ryan Hunt

Electrical Engineering

This project centers on the design of a single ended 10-bit successive approximation register analog to digital converter (SAR ADC for short) that easily interfaces to a micro-controller, such as an Arduino. With micro-controller interfacing in mind, the universal data transfer technique of SPI proved an easy way to communicate between the ADC and the micro-controller. The ADC has a range of 1V (highest code value) to 0V (lowest code value) and operates from a single voltage rail value of 1.8V. Typical SPI clock speeds run on the order of 2MHz and with a 10-bit ADC this means a sampling …


Mos Current Mode Logic (Mcml) Analysis For Quiet Digital Circuitry And Creation Of A Standard Cell Library For Reducing The Development Time Of Mixed Signal Chips, David Marusiak Jun 2014

Mos Current Mode Logic (Mcml) Analysis For Quiet Digital Circuitry And Creation Of A Standard Cell Library For Reducing The Development Time Of Mixed Signal Chips, David Marusiak

Master's Theses

Many modern digital systems use forms of CMOS logical implementation due to the straight forward design nature of CMOS logic and minimal device area since CMOS uses fewer transistors than other logic families. To achieve high-performance requirements in mixed-signal chip development and quiet, noiseless circuitry, this thesis provides an alternative toCMOSin the form of MOS Current Mode Logic (MCML). MCML dissipates constant current and does not produce noise during value changing in a circuit CMOS circuits do. CMOS logical networks switch during clock ticks and with every device switching, noise is created on the supply and ground to deal with …


Bi-Directional Vector Variable Gain Amplifier For An X-Band Phased Array Radar Application, Arash Mashayekhi Jan 2014

Bi-Directional Vector Variable Gain Amplifier For An X-Band Phased Array Radar Application, Arash Mashayekhi

Masters Theses 1911 - February 2014

This thesis presents the design, layout, and measurements of a bi-directional amplifier with variable vector (in-phase / quadrature) gain control that will be part of an electronically steered phased array system. The electronically steered phased array has many advantages over the conventional mechanically steered antennas including rapid scanning of the beam and adaptively creating nulls in desired locations. The 10-bit bi-directional Vector Variable Gain Amplifier (VVGA) is part of the transmit and receive module of each antenna element where transmit and receive functionality is determined through a simple switch. The VVGA performs amplification of the IF IQ pair by an …


Physical Design Of A Smart Camera With Integrated Digital Pixel Sensors Using A 0.13Μm 8-Layer Metal Cmos Process, Mahir K. Gharzai Dec 2013

Physical Design Of A Smart Camera With Integrated Digital Pixel Sensors Using A 0.13Μm 8-Layer Metal Cmos Process, Mahir K. Gharzai

Department of Electrical and Computer Engineering: Dissertations, Theses, and Student Research

The design of cameras has historically kept imagery and computational circuitry isolated in an attempt to maximize image quality by improving pixel pitch and routing density. Although this technique has worked in creating high density arrays of pixels for large resolution imagers, it has never been able to achieve high framerate computational operations.

A radical approach is introduced to solve this dilemma by creating compact, low- power pixel elements with built-in analog-to-digital converters that directly interface with digital logic. These pixels are capable of integrating alongside logic cells and to create an array of pixels inside the processor that can …


Max Operation In Statistical Static Timing Analysis On The Non-Gaussian Variation Sources For Vlsi Circuits, Abu M. Baker Dec 2013

Max Operation In Statistical Static Timing Analysis On The Non-Gaussian Variation Sources For Vlsi Circuits, Abu M. Baker

UNLV Theses, Dissertations, Professional Papers, and Capstones

As CMOS technology continues to scale down, process variation introduces significant uncertainty in power and performance to VLSI circuits and significantly affects their reliability. If this uncertainty is not properly handled, it may become the bottleneck of CMOS technology improvement. As a result, deterministic analysis is no longer conservative and may result in either overestimation or underestimation of the circuit delay. As we know that Static-Timing Analysis (STA) is a deterministic way of computing the delay imposed by the circuits design and layout. It is based on a predetermined set of possible events of process variations, also called corners of …


An Ant-Based Sensor Measurement Data Gathering System, Bolun Zhang, Dimitrios Peroulis Oct 2013

An Ant-Based Sensor Measurement Data Gathering System, Bolun Zhang, Dimitrios Peroulis

The Summer Undergraduate Research Fellowship (SURF) Symposium

Large-scale industries involved with a great amount of sensor measurements in their work are facing many challenges in data collection. Sensors are not on the same network; therefore each measurement has to be managed separately. Gathering all the measurement data to one terminal could be difficult. Once a measurement is obtained, it takes significant amount of time to process the data.The approaches our group takes here is to build a giant ANT wireless network that holds all the sensors’ measurements. To be more specific, every sensor has an ANT chip set up on its side. Each ANT chip is as …


Design And Evaluation Of Fpga-Based Hybrid Physically Unclonable Functions, Sasan Khoshroo May 2013

Design And Evaluation Of Fpga-Based Hybrid Physically Unclonable Functions, Sasan Khoshroo

Electronic Thesis and Dissertation Repository

A Physically Unclonable Function (PUF) is a new and promising approach to provide security for physical systems and to address the problems associated with traditional approaches. One of the most important performance metrics of a PUF is the randomness of its generated response, which is presented via uniqueness, uniformity, and bit-aliasing. In this study, we implement three known PUF schemes on an FPGA platform, namely SR Latch PUF, Basic RO PUF, and Anderson PUF. We then perform a thorough statistical analysis on their performance. In addition, we propose the idea of the Hybrid PUF structure in which two (or more) …