Open Access. Powered by Scholars. Published by Universities.®

Materials Science and Engineering Commons

Open Access. Powered by Scholars. Published by Universities.®

Theses/Dissertations

Copper

Discipline
Institution
Publication Year
Publication

Articles 1 - 30 of 110

Full-Text Articles in Materials Science and Engineering

Effects Of Magnetite Particle Morphology On Adsorption Of Copper Ions From Aqueous Solutions, Alisa Hashley May 2024

Effects Of Magnetite Particle Morphology On Adsorption Of Copper Ions From Aqueous Solutions, Alisa Hashley

Graduate Theses & Non-Theses

Adsorptive processes can be used for metal contaminant removal. This work addresses magnetite, a magnetic iron oxide, as the adsorbent for use in an adsorptive removal system, known as the continuous flow material recovery system (CFMR), developed by Leitzke et al. [1]. Though the system is effective in removing contaminants from aqueous solution, efforts to further improve efficiency are being made. One way to improve the efficiency of the CFMR is to analyze the magnetite particles being used and investigate how the particle properties effect adsorption. The author’s research is presented and discussed here to describe the effects magnetite particle …


The Study Of Corrosion On Additive-Manufactured Metals., Braydan Daniels May 2023

The Study Of Corrosion On Additive-Manufactured Metals., Braydan Daniels

Electronic Theses and Dissertations

The purpose of this study was to investigate and compare the corrosion mechanisms between wrought and additive-manufactured (3D-printed) copper and stainless steel. The experimental procedure consisted of measuring the open circuit potential, electrochemical impedance spectroscopy, linear sweep voltammetry, Tafel analysis, surface topology, and scanning electron microscopy for each metal within salt water, tap water, sulfuric acid, and synthetic body fluid (excluding copper in synthetic body fluid).

Overall, printed stainless steel was more corrosion-resistant than wrought stainless steel in tap water and synthetic body fluid based on OCP, LSV, and surface topology results. Additionally, printed copper was more corrosion-resistant than wrought …


Use Of Electrochemical Techniques And Statistical Analysis To Investigate The Pitting Probability Of Copper, Sina Matin Mar 2023

Use Of Electrochemical Techniques And Statistical Analysis To Investigate The Pitting Probability Of Copper, Sina Matin

Electronic Thesis and Dissertation Repository

The development of a safe permanent disposal plan is essential for the long-term disposal of used fuel bundles. Nuclear Waste Management Organization (NWMO) has been investigating the deep geologic disposal of nuclear waste which offers the optimum passive safety system with a negligible probability of release of radionuclides into the environment.

The proposed used fuel containers (UFC) for the permanent disposal of high-level nuclear waste in Canada is comprised of a carbon steel vessel coated with a 3 mm corrosion-resistant copper layer deposited using a combination of electrodeposition and cold spray deposition. Although copper is often considered to be thermodynamically …


Functionally Magnetic Gradient Copper-Nickel Material Fabricated Via Directed Energy Deposition, Vy Tran Phuong Nguyen Jan 2022

Functionally Magnetic Gradient Copper-Nickel Material Fabricated Via Directed Energy Deposition, Vy Tran Phuong Nguyen

Graduate Theses, Dissertations, and Problem Reports

Functionally gradient materials (FGMs) of CuSn10 and Inconel 718 were fabricated via a hybrid directed energy deposition (DED) system. The objective of the present thesis is to determine the feasibility of manufacturing CuSn10 and Inconel 718 FGMs via DED and investigate the physical and mechanical properties and the microstructures of the resulting FGMs. The physical tests comprised of conductivity and Seebeck coefficient measurements. The microstructure analysis and mechanical testing include microscopic imaging, scanning electron microscopy (SEM), energy dispersive spectroscopy (EDS), and hardness test. In addition, compressive strength test was performed to analyze the interface bonding behaviors.


The Effect Of Mass Transport On Deposit Quality In Copper Electrowinning, Joseph Bauer Jan 2022

The Effect Of Mass Transport On Deposit Quality In Copper Electrowinning, Joseph Bauer

Doctoral Dissertations

"Roughness and nodulation of copper electrodeposits depend strongly on mass transfer conditions of copper ions to the electrode surface. Mass transfer properties in electrowinning electrolytes were first characterized. The effective diffusivity of cupric ion was measured with a rotating disk electrode in CuSO4-H2SO4 electrolytes at temperatures relevant to electrowinning, with and without additives. Adding 20 mg L-1 of chloride ion increased the measured diffusion coefficient, but commercial smoothing additives had little effect. An empirical formula to predict cupric diffusivity was generated for later use in mass transport modeling.

Boundary layer thickness information for commercial …


Improving Base Metal Electrowinning, Charles Ebenezer Abbey Jan 2019

Improving Base Metal Electrowinning, Charles Ebenezer Abbey

Doctoral Dissertations

"In zinc electrowinning, Mn oxidizes to form MnO2 on Pb-Ag anodes, cell walls and pipes. MnO2 reduces anode corrosion but also leads to short circuits and maintenance issues. MnO2 is thought to interact with chloride ions and produce oxidized chlorine species. The interactions between Mn and Cl are not well understood. Bench scale experiments were conducted to investigate the effects of the manganese to chloride ratio on anode corrosion rate and electrolyte chemistry using rolled Pb-Ag anodes. Increasing the average Mn/Cl- ratio from ~7:1 to ~11:1 reduced the anode corrosion rate. Anode scales produced with Mn/Cl …


Electrodeposited Epitaxial Cobalt Oxides And Copper Metal, Caleb M. Hull Jan 2018

Electrodeposited Epitaxial Cobalt Oxides And Copper Metal, Caleb M. Hull

Doctoral Dissertations

"Electrochemical deposition methods are presented for the deposition of Co(OH)2 and Cu metal. Paper I shows the deposition of β-Co(OH)2 on Ti through electrochemical reduction of [Co(en)3]3+ to [Co(en)3]2+ in 2M NaOH. The catalytic properties of the deposited Co(OH)2 towards water oxidation is found comparable to Co3O4, with the surface of the Co(OH)2 converting to CoOOH during the reaction. Paper II gives the conditions suitable for epitaxial growth of Co(OH)2 on Au(100), Au(110), and Au(111) following the same reduction mechanism as described in Paper I. …


Processing And Properties Of Wc-Based Cu-Ni-Mn-Zn Metal Matrix Composites Produced Via Pressureless Infiltration, Paul M. Brune Jan 2017

Processing And Properties Of Wc-Based Cu-Ni-Mn-Zn Metal Matrix Composites Produced Via Pressureless Infiltration, Paul M. Brune

Masters Theses

"This research focuses on the processing and properties of tungsten carbide-based (WC-based) Cu-Ni-Mn-Zn metal matrix composites (MMCs) fabricated by pressureless infiltration. The first goal of this project was to test the wettability of Cu-Ni-Mn and Cu-Ni-Mn-Zn on various carbides. The sessile drop technique was employed to determine if they were suitable candidates for pressureless infiltration. The carbides investigated were TaC, WC, B4C, and SiC. It was determined that both alloys had contact angles of less than 70⁰ on TaC, WC, and B4C, which is one requirement for pressureless infiltration. However, both alloys reacted with B4C to …


Modeling And Studying The Effect Of Texture And Elastic Anisotropy Of Copper Microstructure In Nanoscale Interconnects On Reliability In Integrated Circuits, Adarsh Basavalingappa Jan 2017

Modeling And Studying The Effect Of Texture And Elastic Anisotropy Of Copper Microstructure In Nanoscale Interconnects On Reliability In Integrated Circuits, Adarsh Basavalingappa

Legacy Theses & Dissertations (2009 - 2024)

Copper interconnects are typically polycrystalline and follow a lognormal grain size distribution. Polycrystalline copper interconnect microstructures with a lognormal grain size distribution were obtained with a Voronoi tessellation approach. The interconnect structures thus obtained were used to study grain growth mechanisms, grain boundary scattering, scattering dependent resistance of interconnects, stress evolution, vacancy migration, reliability life times, impact of orientation dependent anisotropy on various mechanisms, etc. In this work, the microstructures were used to study the impact of microstructure and elastic anisotropy of copper on thermal and electromigration induced failure.


Homo- And Heterometallic Bis(Pentafluorobenzoyl)Methanide Complexes Of Copper(Ii) And Cobalt(Ii), Janell Crowder Jan 2017

Homo- And Heterometallic Bis(Pentafluorobenzoyl)Methanide Complexes Of Copper(Ii) And Cobalt(Ii), Janell Crowder

Legacy Theses & Dissertations (2009 - 2024)

β-Diketones are well known to form metal complexes with practically every known metal and metalloid. Metal complexes of fluorinated β-diketones generally exhibit increased volatility and thermal stability compared to the non-fluorinated analogues, and thus are used extensively in various chemical vapor deposition (CVD) processes for the deposition of metal, simple or mixed metal oxides, and fluorine-doped metal oxide thin films. Furthermore, the electron-withdrawing nature of the fluorinated ligand enhances the Lewis acidity of a coordinatively unsaturated metal center which facilitates additional coordination reactions. The physical and structural properties of fluorinated β-diketonate complexes are discussed in Chapter 1 …


Doped Tio2 Nanowires For Applications In Dye Sensitized Solar Cells And Sacrifical Hydrogen Production, Qasem Alsharari Apr 2016

Doped Tio2 Nanowires For Applications In Dye Sensitized Solar Cells And Sacrifical Hydrogen Production, Qasem Alsharari

Electronic Thesis and Dissertation Repository

This thesis explores the synthesis of metal oxide 1-D nanowires using a sol-gel method in supercritical carbon dioxide (sc-CO2), as an environmental friendly enabling solvent. Porous nanowires were synthesized and their performance was tested in dye sensitized solar cell and sacrifical hydrogen production. Titanium isopropoxide (TIP) was used as a precursor for titania synthesis while copper, bismuth and indium were examined as dopants, respectively. The sol-gel reactions were catalyzed by acetic acid in CO2 at a temperature of 60 °C and pressure of 5000 psi. It was observed that acetic acid/monomer ratio > 4 produced nanowires while a …


Texture And Microstructure Of Ipvd Copper Manganese Seed In 1 Μm & 70 Nm Wide Damascene Trenches, Robert Stuart Brown Jan 2016

Texture And Microstructure Of Ipvd Copper Manganese Seed In 1 Μm & 70 Nm Wide Damascene Trenches, Robert Stuart Brown

Legacy Theses & Dissertations (2009 - 2024)

This thesis describes the grain texture and microstructure of Ionized Physical Vapor Deposition (iPVD) Copper Manganese seed in 1 µm and 70 nm wide damascene trenches. Using Transmission Electron Microscopy (TEM) imaging and diffraction pattern analysis, the grain size and general orientation of the grains were determined. It was found that the 1 µm wide trenches contained larger grains and more texture than that of the 70 nm wide trenches. While this thesis builds upon previous work by Brendan O’Brien in the Dunn group, one significantly different finding will be presented regarding the structure on the sidewall of the trenches. …


Voltammetric Investigation Of Xanthate Chemisorption On A Chalcopyrite Surface, Jesse Lynn Bowden Apr 2015

Voltammetric Investigation Of Xanthate Chemisorption On A Chalcopyrite Surface, Jesse Lynn Bowden

Graduate Theses & Non-Theses

Cyclic Voltammetry experiments have been conducted on copper, iron, and chalcopyrite (CuFeS2) and compared to mass-balanced EH-pH Diagrams. Potassium ethyl xanthate (KEX) was added to solution and additional voltammetry experiments were performed to determine the surface chemistry reactions of flotation collector in solution with these minerals. The ultimate goal of this research was to investigate the possibility of xanthate chemisorption onto the chalcopyrite mineral surface. Results of the copper mineral testing confirm previous literature studies and corroborate published isotherm data. Results of the iron mineral testing showed changes in surface reactions with the addition of potassium ethyl xanthate to solution, …


Understanding Impurities In Copper Electrometallurgy, Paul Laforest Jan 2015

Understanding Impurities In Copper Electrometallurgy, Paul Laforest

Masters Theses

"This work involves examining two industrial reports of methods to handle impurities in copper electrowinning and electrorefining. The first part evaluates the addition of Hydrostar, Cyquest N-900, DXG-F7, and Guartec EW to copper electrowinning electrolyte to affect MnO2 deposition on coated titanium anodes (CTAs). The second part examines the effects of anode chemistry and thiourea addition on the ductility of electrorefined copper starter sheet.

In part one, a laboratory cell was used to simulate a short cycle electrowinning cell via chronopotentiometric operation with an IrO2-Ta2O5 CTA at 40⁰C. A cyclic voltammetric sweep was applied …


The Impact Of Seed Layer Structure On The Recrystallization Of Ecd Cu And Its Alloys, Brendan B. O'Brien Jan 2015

The Impact Of Seed Layer Structure On The Recrystallization Of Ecd Cu And Its Alloys, Brendan B. O'Brien

Legacy Theses & Dissertations (2009 - 2024)

Despite the significant improvements originally offered by the use of Cu over Al as the interconnect material for semiconductor devices, the continued down-scaling of interconnects has presented significant challenges for semiconductor engineers. As the metal line widths shrink, both the conductivity and reliability of lines decrease due to a stubbornly fine-grained microstructure in narrow lines.


Effect And Interactions Of Commercial Additives And Chloride Ion In Copper Electrowinning, Wenyuan Cui Jan 2014

Effect And Interactions Of Commercial Additives And Chloride Ion In Copper Electrowinning, Wenyuan Cui

Masters Theses

"This thesis is to understand and compare the effects and interactions of modified polysaccharide (HydroStar), polyacrylamide (Cyquest N-900) and chloride ion on copper electrowinning. A study of the nucleation and growth was conducted in a synthetic electrolyte (40 g/L Cu, 160 g/L H₂SO₄, 20 mg/L Cl-) with the addition of HydroStar or Cyquest N-900 using potential step measurements. The current responses generated were compared to theoretical models of nucleation and growth mechanisms. The nucleation and growth mechanism changed as function of potential and the presence of organic additives. The nucleation and growth mechanisms were confirmed using scanning electron microscopy (SEM). …


The Influence Of Impurities And Metallic Capping Layers On The Microstructure Of Copper Interconnects, Michael Rizzolo Jan 2014

The Influence Of Impurities And Metallic Capping Layers On The Microstructure Of Copper Interconnects, Michael Rizzolo

Legacy Theses & Dissertations (2009 - 2024)

As copper interconnects have scaled to ever smaller dimensions on semiconductor devices, the microstructure has become increasingly detrimental for performance and reliability. Small grains persist in interconnects despite annealing at high temperatures, leading to higher line resistance and more frequent electromigration-induced failures. Conventionally, it was believed that impurities from the electrodeposition pinned grain growth, but limitations in analytical techniques meant the effect was inferred rather than observed.


First Principle Studies Of Cu-Carbon Nanotube Hybrid Structures With Emphasis On The Electronic Structures And The Transport Properties, Chengyu Yang Jan 2013

First Principle Studies Of Cu-Carbon Nanotube Hybrid Structures With Emphasis On The Electronic Structures And The Transport Properties, Chengyu Yang

Electronic Theses and Dissertations

Carbon nanotubes have been regarded as ideal building blocks for nanoelectronics and multifunctional nanocomposites due to their exceptional strength, stiffness, flexibility, as well as their excellent electrical properties. However, carbon nanotube itself has limitations to fulfill the practical application needs: 1) an individual carbon nanotube has a low density of states at the Fermi level, and thus its conductivity is only comparable to moderate metals but lower than that of copper. 2) Metallic and semiconducting nanotubes are inherently mixed together from the synthesis, and the selection/separation is very difficult with very low efficiency. 3) Carbon nanotubes alone cannot be used …


Plasma-Enhanced Atomic Layer Deposition Of Ruthenium-Titanium Nitride Mixed-Phase Layers For Direct-Plate Liner And Copper Diffusion Barrier Applications, Adam James Gildea Jan 2013

Plasma-Enhanced Atomic Layer Deposition Of Ruthenium-Titanium Nitride Mixed-Phase Layers For Direct-Plate Liner And Copper Diffusion Barrier Applications, Adam James Gildea

Legacy Theses & Dissertations (2009 - 2024)

Current interconnect networks in semiconductor processing utilize a sputtered TaN diffusion barrier, Ta liner, and Cu seed to improve the adhesion, microstructure, and electromigration resistance of electrochemically deposited copper that fills interconnect wires and vias. However, as wire/via widths shrink due to device scaling, it becomes increasingly difficult to have the volume of a wire/via be occupied with ECD Cu which increases line resistance and increases the delay in signal propagation in IC chips. A single layer that could serve the purpose of a Cu diffusion barrier and ECD Cu adhesion promoter could allow ECD Cu to occupy a larger …


Ruco To Extend The Scalability Of Ultra-Thin Direct Plate Liners, Daniel Verne Greenslit Jan 2013

Ruco To Extend The Scalability Of Ultra-Thin Direct Plate Liners, Daniel Verne Greenslit

Legacy Theses & Dissertations (2009 - 2024)

In traditional semiconductor technology a sputtered copper seed layer is used to improve the adhesion, microstucture, and electromigration characteristics of electrochemically deposited (ECD) copper. The seed layer is deposited on top of a Ta/TaN stack. The Ta layer acts as an adhesion and nucleation layer for the copper seed and the TaN serves as a diffusion barrier for the Cu. As the line widths continue to shrink, scaling each of these layers becomes more difficult. It would be advantageous for the interconnect to be composed of as much copper as possible, transitioning from the traditional liner seed stack to a …


Copper Intercalation Into Graphite, Kyle Kalbus Dec 2012

Copper Intercalation Into Graphite, Kyle Kalbus

Theses and Dissertations

An electric brush is necessary for an electric motor to function. The purpose of this thesis is to propose a technique to improve electric brush properties in an effort to produce a more proficient electric motor by creating a new brush material with improved properties and performance. There are many applications for electric motors and each application would benefit from overall, increased proficiency. Understanding the role an electric brush plays within an electric motor is crucial to improving functionality. The proposed technique to create a novel graphite-copper material involves a two-step procedure that will intercalate CuCl2 into the graphite structure, …


Grain Boundaries Structures And Wetting In Doped Silicon, Nickel And Copper, Kaveh Meshinchi Asl May 2012

Grain Boundaries Structures And Wetting In Doped Silicon, Nickel And Copper, Kaveh Meshinchi Asl

All Dissertations

This thesis reports a series of fundamental investigations of grain boundary wetting, adsorption and structural (phases) transitions in doped Ni, Cu and Si with technological relevance to liquid metal embrittlement, liquid metal corrosion and device applications. First, intrinsically ductile metals are prone to catastrophic failure when exposed to certain liquid metals, but the atomic level mechanism for this effect is not fully understood. A nickel sample infused with bismuth atoms was characterized and a bilayer interfacial phase that is the underlying cause of embrittlement was observed. In a second related study, we showed that addition of minor impurities can significantly …


Plasma Enhanced Atomic Layer Deposition Of Cu Seed Layers At Low Process Temperatures, Jiajun Mao Jan 2012

Plasma Enhanced Atomic Layer Deposition Of Cu Seed Layers At Low Process Temperatures, Jiajun Mao

Legacy Theses & Dissertations (2009 - 2024)

In conventional Cu interconnect fabrication, a sputtered copper seed layer is deposited before the electrochemically deposited (ECD) copper plating step. However, as interconnect dimensions scale down, non-conformal seed layer growth and subsequent voiding of metallized structures is becoming a critical issue. With its established excellent thickness controllability and film conformality, atomic layer deposition (ALD) is becoming an attractive deposition approach for the sub-24nm fabrication regime. However, in order to achieve a smooth and continuous seed layer deposition, a low process temperature (below 100oC) is needed, given the tendency of Cu agglomeration at elevated temperature. In this research, plasma enhanced ALD …


Nucleation, Wetting And Agglomeration Of Copper And Copper-Alloy Thin Films On Metal Liner Surfaces, Stephanie Florence Labarbera Jan 2011

Nucleation, Wetting And Agglomeration Of Copper And Copper-Alloy Thin Films On Metal Liner Surfaces, Stephanie Florence Labarbera

Legacy Theses & Dissertations (2009 - 2024)

One of the key challenges in fabricating narrower and higher aspect ratio interconnects using damascene technology has been achieving an ultra-thin (~2 nm) and continuous Cu seed coverage on trench sidewalls. The thin seed is prone to agglomeration because of poor Cu wetting on the Ta liner. Using in-situ conductance measurements, the effect of lowering the substrate temperature during Cu seed deposition has been studied on tantalum (Ta) and ruthenium (Ru) liner surfaces. On a Ta surface, it was found that lowering the deposition temperature to -65°C increases the nucleation rate of the Cu thin film, and reduces the minimum …


Investigation Of Novel Alumina Nanoabrasive And The Interactions With Basic Chemical Components In Copper Chemical Mechanical Planarization (Cmp) Slurries, Shravanthi Lakshmi Manikonda Jan 2011

Investigation Of Novel Alumina Nanoabrasive And The Interactions With Basic Chemical Components In Copper Chemical Mechanical Planarization (Cmp) Slurries, Shravanthi Lakshmi Manikonda

Legacy Theses & Dissertations (2009 - 2024)

Chemical mechanical planarization (CMP) is an enabling process technology for IC fabrication to maintain global planarity across the wafer to satisfy lithographic depth of focus constraints. It also enables integration of materials that cannot be anisotropically etched, such as Cu. CMP utilizes nanoparticle abrasives in aqueous slurry to aid in planarization.


Effect Of Moisture Absorption On The Sinter Quality Of Central Solenoid (Cs) Coil Pack, Zeshaan Sher Mohammed Dec 2010

Effect Of Moisture Absorption On The Sinter Quality Of Central Solenoid (Cs) Coil Pack, Zeshaan Sher Mohammed

Masters Theses

Fusion energy has been said to be the solution to all the world’s energy problems. The International Thermonuclear Experimental Reactor (ITER) is the flagship project to demonstrate the feasibility of fusion energy. The Central Solenoid (CS), an important component of the reactor, is needed to induce plasma current, initiate, ramp-up, ramp-down, and sustain plasma in a very controlled manner. In order to achieve this, the CS coil packs must be manufactured under controlled conditions. The CS conductor is an advanced cable-in-conduit Nb3Sn superconductor. The CS cable will be made in long continuous sections but with thousands of meter of cable …


Compensation And Characterization Of Gallium Arsenide, Randy A. Roush Jan 1995

Compensation And Characterization Of Gallium Arsenide, Randy A. Roush

Electrical & Computer Engineering Theses & Dissertations

The properties of transition metals in gallium arsenide have been previously investigated extensively with respect to activation energies, but little effort has been made to correlate processing parameters with electronic characteristics. Diffusion of copper in gallium arsenide is of technological importance due to the development of GaAs:Cu bistable photoconductive devices. Several techniques are demonstrated in this work to develop and characterize compensated gallium arsenide wafers. The material is created by the thermal diffusion of copper into silicon-doped GaAs. Transition metals generally form deep and shallow acceptors in GaAs, and therefore compensation is possible by material processing such that the shallow …


Influence Of Processing Techniques On Copper Deep Levels Formation And On Photoconductivity In Silicon Doped Gallium Arsenide, Lucy M. Thomas Apr 1993

Influence Of Processing Techniques On Copper Deep Levels Formation And On Photoconductivity In Silicon Doped Gallium Arsenide, Lucy M. Thomas

Electrical & Computer Engineering Theses & Dissertations

Diffusion of copper in silicon doped gallium arsenide under different diffusion conditions is studied. Copper compensated silicon doped gallium arsenide (GaAs:Si:Cu) is used as switch material for bulk optically controlled semiconductor switch, and on-state photoconductivity of the switch is primarily due to the properties of the copper deep levels introduced in the material during diffusion. Gallium arsenide being a compound semiconductor, presence of vacancies and defects make the study of diffusion a complex process. The objective of the current research is twofold: a) to study the influence of diffusion conditions and processing techniques on copper deep level formation in silicon …


Copper Converter: Basic Research Testing With A Plastic Model Converter And Four Types Of Refractories, Arthur C. Bigley Jr. May 1960

Copper Converter: Basic Research Testing With A Plastic Model Converter And Four Types Of Refractories, Arthur C. Bigley Jr.

Bachelors Theses and Reports, 1928 - 1970

In recent years there has appeared an increasing number of papers on converting operations as related to thermodynamics. This approach is a powerful, potential tool. However, the development of new ideas and changes must rely on accurate basic information.


Secondary Recrystallization In Copper, James E. Thompson May 1960

Secondary Recrystallization In Copper, James E. Thompson

Bachelors Theses and Reports, 1928 - 1970

The purpose of this thesis is to study the conditions under which secondary recrystallization will take place in copper. The variables considered were the time annealing, the temperature of annealing, and the degree of cold work undergone by the specimen before it was subjected to the heat treatment.