Open Access. Powered by Scholars. Published by Universities.®

Electronic Devices and Semiconductor Manufacturing

Theses/Dissertations

Institution
Keyword
Publication Year
Publication

Articles 31 - 58 of 58

Full-Text Articles in VLSI and Circuits, Embedded and Hardware Systems

Design And Test Of A Gate Driver With Variable Drive And Self-Test Capability Implemented In A Silicon Carbide Cmos Process, Matthew Barlow May 2017

Design And Test Of A Gate Driver With Variable Drive And Self-Test Capability Implemented In A Silicon Carbide Cmos Process, Matthew Barlow

Graduate Theses and Dissertations

Discrete silicon carbide (SiC) power devices have long demonstrated abilities that outpace those of standard silicon (Si) parts. The improved physical characteristics allow for faster switching, lower on-resistance, and temperature performance. The capabilities unleashed by these devices allow for higher efficiency switch-mode converters as well as the advance of power electronics into new high-temperature regimes previously unimaginable with silicon devices. While SiC power devices have reached a relative level of maturity, recent work has pushed the temperature boundaries of control electronics further with silicon carbide integrated circuits.

The primary requirement to ensure rapid switching of power MOSFETs was a gate …


The Effect Of Power Supply Ramp Time On Sram Puf's, Abdelrahman T. Elshafiey Mr. Apr 2017

The Effect Of Power Supply Ramp Time On Sram Puf's, Abdelrahman T. Elshafiey Mr.

Electrical and Computer Engineering ETDs

Physical unclonable functions (PUFs) are security primitives that exploit the device mismatches. PUFs are a promising solution for hardware cryptography and key storage. They are used in many security applications including identification, authentication and key generation. SRAM is one of the popular implementations of PUFs. SRAM PUFs offer the advantage, over other PUF constructions, of reusing resources (memories) that already exist in many designs.

In this thesis, for the first time, it is demonstrated that the start-up value of an SRAM PUF could be different depending on the SRAM power supply rising time. An analytical model has been developed to …


Si-Based Germanium-Tin (Gesn) Emitters For Short-Wave Infrared Optoelectronics, Seyed Amir Ghetmiri Dec 2016

Si-Based Germanium-Tin (Gesn) Emitters For Short-Wave Infrared Optoelectronics, Seyed Amir Ghetmiri

Graduate Theses and Dissertations

Conventional integrated electronics have reached a physical limit, and their efficiency has been influenced by the generated heat in the high-density electronic packages. Integrated photonic circuits based on the highly developed Si complementary-metal-oxide-semiconductor (CMOS) infrastructure was proposed as a viable solution; however, Si-based emitters are the most challenging component for the monolithic integrated photonic circuits. The indirect bandgap of silicon and germanium is a bottleneck for the further development of photonic and optoelectronic integrated circuits.

The Ge1-xSnx alloy, a group IV material system compatible with Si CMOS technology, was suggested as a desirable material that theoretically exhibits a direct bandgap …


Compact Modeling Of Sic Insulated Gate Bipolar Transistors, Sonia Perez Aug 2016

Compact Modeling Of Sic Insulated Gate Bipolar Transistors, Sonia Perez

Graduate Theses and Dissertations

This thesis presents a unified (n-channel and p-channel) silicon/silicon carbide Insulated Gate Bipolar Transistor (IGBT) compact model in both MAST and Verilog-A formats. Initially, the existing MAST model mobility equations were updated using recently referenced silicon carbide (SiC) data. The updated MAST model was then verified for each device tested. Specifically, the updated MAST model was verified for the following IGBT devices and operation temperatures: n-channel silicon at 25 ˚C and at 125 ˚C; n-channel SiC at 25 ˚C and at 175 ˚C; and p-channel SiC at 150 ˚C and at 250 ˚C. Verification was performed through capacitance, DC output …


Design And Implementation Of An Integrated Biosensor Platform For Lab-On-A-Chip Diabetic Care Systems, Khandaker Abdullah Al Mamun May 2016

Design And Implementation Of An Integrated Biosensor Platform For Lab-On-A-Chip Diabetic Care Systems, Khandaker Abdullah Al Mamun

Doctoral Dissertations

Recent advances in semiconductor processing and microfabrication techniques allow the implementation of complex microstructures in a single platform or lab on chip. These devices require fewer samples, allow lightweight implementation, and offer high sensitivities. However, the use of these microstructures place stringent performance constraints on sensor readout architecture. In glucose sensing for diabetic patients, portable handheld devices are common, and have demonstrated significant performance improvement over the last decade. Fluctuations in glucose levels with patient physiological conditions are highly unpredictable and glucose monitors often require complex control algorithms along with dynamic physiological data. Recent research has focused on long term …


High Temperature Silicon Carbide Mixed-Signal Circuits For Integrated Control And Data Acquisition, Ashfaqur Rahman Dec 2015

High Temperature Silicon Carbide Mixed-Signal Circuits For Integrated Control And Data Acquisition, Ashfaqur Rahman

Graduate Theses and Dissertations

Wide bandgap semiconductor materials such as gallium nitride (GaN) and silicon carbide have grown in popularity as a substrate for power devices for high temperature and high voltage applications over the last two decades. Recent research has been focused on the design of integrated circuits for protection and control in these wide bandgap materials. The ICs developed in SiC and GaN can not only complement the power devices in high voltage and high frequency applications, but can also be used for standalone high temperature control and data acquisition circuitry.

This dissertation work aims to explore the possibilities in high temperature …


Skybridge: A New Nanoscale 3-D Computing Framework For Future Integrated Circuits, Mostafizur Rahman Nov 2015

Skybridge: A New Nanoscale 3-D Computing Framework For Future Integrated Circuits, Mostafizur Rahman

Doctoral Dissertations

Continuous scaling of CMOS has been the major catalyst in miniaturization of integrated circuits (ICs) and crucial for global socio-economic progress. However, continuing the traditional way of scaling to sub-20nm technologies is proving to be very difficult as MOSFETs are reaching their fundamental performance limits [1] and interconnection bottleneck is dominating IC operational power and performance [2]. Migrating to 3-D, as a way to advance scaling, has been elusive due to inherent customization and manufacturing requirements in CMOS architecture that are incompatible with 3-D organization. Partial attempts with die-die [3] and layer-layer [4] stacking have their own limitations [5]. We …


Phase Locked Loop Integrated Circuit, Scott Buchanan, Jonathan Bonello Jun 2015

Phase Locked Loop Integrated Circuit, Scott Buchanan, Jonathan Bonello

Electrical Engineering

No abstract provided.


Chipper: Capacitive Bed Occupancy Sensing For An Intelligent Alarm Clock, David Levi Jun 2015

Chipper: Capacitive Bed Occupancy Sensing For An Intelligent Alarm Clock, David Levi

Electrical Engineering

What if your alarm clock knew when you got out—and stayed out—of bed? Current alarm clocks happily let you go back to bed after turning them off. In this project, I build an alarm which only stops ringing when you get out bed, and starts ringing again if you lie back in bed.

This project uses capacitance to detect bed occupancy. A person on or near the bed creates a tiny, picofarads level increase in capacitance, as seen by a sensor placed under the mattress. A microprocessor interprets this signal, and also drives an audio alarm. Shielding of the sensor …


Design And Fabrication Techniques Of Devices For Embedded Power Active Contact Lens, Errol Heradio Leon Jun 2015

Design And Fabrication Techniques Of Devices For Embedded Power Active Contact Lens, Errol Heradio Leon

Master's Theses

This thesis designed and fabricated various devices that were interfaced to an IC for an active contact lens that notifies the user of an event by detection of an external wireless signal. The contact lens consisted of an embedded antenna providing communication with a 2.4GHz system, as well as inductive charging at an operating frequency of 13.56 MHz. The lens utilized a CBC005 5µAh thin film battery by Cymbet and a manufactured graphene super capacitor as a power source. The custom integrated circuit (IC) was designed using the On Semiconductor CMOS C5 0.6 µm process to manage …


Architecting Np-Dynamic Skybridge, Jiajun Shi Mar 2015

Architecting Np-Dynamic Skybridge, Jiajun Shi

Masters Theses

With the scaling of technology nodes, modern CMOS integrated circuits face severe fundamental challenges that stem from device scaling limitations, interconnection bottlenecks and increasing manufacturing complexities. These challenges drive researchers to look for revolutionary technologies beyond the end of CMOS roadmap. Towards this end, a new nanoscale 3-D computing fabric for future integrated circuits, Skybridge, has been proposed [1]. In this new fabric, core aspects from device to circuit style, connectivity, thermal management and manufacturing pathway are co-architected in a 3-D fabric-centric manner.

However, the Skybridge fabric uses only n-type transistors in a dynamic circuit style for logic and memory …


Architecting Skybridge-Cmos, Mingyu Li Mar 2015

Architecting Skybridge-Cmos, Mingyu Li

Masters Theses

As the scaling of CMOS approaches fundamental limits, revolutionary technology beyond the end of CMOS roadmap is essential to continue the progress and miniaturization of integrated circuits. Recent research efforts in 3-D circuit integration explore pathways of continuing the scaling by co-designing for device, circuit, connectivity, heat and manufacturing challenges in a 3-D fabric-centric manner. SkyBridge fabric is one such approach that addresses fine-grained integration in 3-D, achieves orders of magnitude benefits over projected scaled 2-D CMOS, and provides a pathway for continuing scaling beyond 2-D CMOS.

However, SkyBridge fabric utilizes only single type transistors in order to reduce manufacture …


Design Of A High Performance Silicon Carbide Cmos Operational Amplifier, Shaila Amin Bhuyan Dec 2014

Design Of A High Performance Silicon Carbide Cmos Operational Amplifier, Shaila Amin Bhuyan

Graduate Theses and Dissertations

This thesis presents the design, simulation, layout and test results of a silicon carbide (SiC) CMOS two-stage operational amplifier (op amp) with NMOS input stage. The circuit has been designed to provide a stable open-loop voltage gain (60 dB), unity-gain bandwidth (around 5 MHz) and maintain a high CMRR and PSRR within a useful input common mode range over process corners and a wide temperature range (25 °C - 300 °C). Between the two stages a Miller compensation topology is placed to improve the phase margin (around 45°). Due to the comparatively high threshold voltage values of transistors in SiC, …


Design, Simulation And Implementation Of Three-Phase Bidirectional Dc-Dc Dual Active Bridge Converter Using Sic Mosfets, Tariq Aldawsari Dec 2014

Design, Simulation And Implementation Of Three-Phase Bidirectional Dc-Dc Dual Active Bridge Converter Using Sic Mosfets, Tariq Aldawsari

Graduate Theses and Dissertations

The use of SiC-based martials in fabricating power semiconductor devices has shown more interest than conventional silicon-based. Its promising abilities to improve the performance of power electronic systems made it a valuable choice in building high power DC-DC converters. This thesis presents the design and implementation of a three-phase bidirectional DC-DC Dual Active Bridge using SiC MOSFETs. The proposed circuit is first built in Matlab for simulation analysis. Then a phase shift modulation controller is designed in Simulink to test the simulation circuit. The controls are then integrated through an FPGA to test the prototype. Simulations and experimental results are …


A Low-Power Approach For Front End Biological Signal Conditioning, Logan Smith Taylor Dec 2014

A Low-Power Approach For Front End Biological Signal Conditioning, Logan Smith Taylor

Masters Theses

In a lab-on-a-chip (LOC) application, the measurement of small analog signals such as local temperature variation often involves detection of very low-level signals in a noisy micro-scale environment. This is true for other biomedical monitoring systems as well. These systems observe various physiological parameters or electrochemical reactions that need to be tracked electrically. For temperature measurement pyroelectric transducers represent an efficient solution in terms of speed, sensitivity, and scale of integration, especially when prompt and accurate temperature monitoring is desired.

The ability to perform laboratory operations on a small scale using miniaturized LOC devices is a promising biosensing technique. The …


Design And Evaluation Of An L-Band Current-Mode Class-D Power Amplifier Integrated Circuit, Michael J. Shusta Aug 2014

Design And Evaluation Of An L-Band Current-Mode Class-D Power Amplifier Integrated Circuit, Michael J. Shusta

Masters Theses

Power amplifiers (PAs) convert energy from DC to high frequencies in all radio and microwave transmitter systems be they wireless base stations, handsets, radars, heaters, and so on. PAs are the dominant consumers of energy in these systems and, therefore, the dominant sources of system cost and inefficiency. Research has focused on efficient solid-state PA circuit topologies and their optimization since the 1960s. The 2000s saw the current-mode class-D (CMCD) topology, potentially suitable for today's wireless communications systems, show promise in the UHF frequency band. This thesis describes the design and testing of a high-efficiency CMCD amplifier with an integrated …


Low Voltage Cmos Sar Adc Design, Ryan Hunt Jun 2014

Low Voltage Cmos Sar Adc Design, Ryan Hunt

Electrical Engineering

This project centers on the design of a single ended 10-bit successive approximation register analog to digital converter (SAR ADC for short) that easily interfaces to a micro-controller, such as an Arduino. With micro-controller interfacing in mind, the universal data transfer technique of SPI proved an easy way to communicate between the ADC and the micro-controller. The ADC has a range of 1V (highest code value) to 0V (lowest code value) and operates from a single voltage rail value of 1.8V. Typical SPI clock speeds run on the order of 2MHz and with a 10-bit ADC this means a sampling …


Mos Current Mode Logic (Mcml) Analysis For Quiet Digital Circuitry And Creation Of A Standard Cell Library For Reducing The Development Time Of Mixed Signal Chips, David Marusiak Jun 2014

Mos Current Mode Logic (Mcml) Analysis For Quiet Digital Circuitry And Creation Of A Standard Cell Library For Reducing The Development Time Of Mixed Signal Chips, David Marusiak

Master's Theses

Many modern digital systems use forms of CMOS logical implementation due to the straight forward design nature of CMOS logic and minimal device area since CMOS uses fewer transistors than other logic families. To achieve high-performance requirements in mixed-signal chip development and quiet, noiseless circuitry, this thesis provides an alternative toCMOSin the form of MOS Current Mode Logic (MCML). MCML dissipates constant current and does not produce noise during value changing in a circuit CMOS circuits do. CMOS logical networks switch during clock ticks and with every device switching, noise is created on the supply and ground to deal with …


Max Operation In Statistical Static Timing Analysis On The Non-Gaussian Variation Sources For Vlsi Circuits, Abu M. Baker Dec 2013

Max Operation In Statistical Static Timing Analysis On The Non-Gaussian Variation Sources For Vlsi Circuits, Abu M. Baker

UNLV Theses, Dissertations, Professional Papers, and Capstones

As CMOS technology continues to scale down, process variation introduces significant uncertainty in power and performance to VLSI circuits and significantly affects their reliability. If this uncertainty is not properly handled, it may become the bottleneck of CMOS technology improvement. As a result, deterministic analysis is no longer conservative and may result in either overestimation or underestimation of the circuit delay. As we know that Static-Timing Analysis (STA) is a deterministic way of computing the delay imposed by the circuits design and layout. It is based on a predetermined set of possible events of process variations, also called corners of …


Design And Evaluation Of Fpga-Based Hybrid Physically Unclonable Functions, Sasan Khoshroo May 2013

Design And Evaluation Of Fpga-Based Hybrid Physically Unclonable Functions, Sasan Khoshroo

Electronic Thesis and Dissertation Repository

A Physically Unclonable Function (PUF) is a new and promising approach to provide security for physical systems and to address the problems associated with traditional approaches. One of the most important performance metrics of a PUF is the randomness of its generated response, which is presented via uniqueness, uniformity, and bit-aliasing. In this study, we implement three known PUF schemes on an FPGA platform, namely SR Latch PUF, Basic RO PUF, and Anderson PUF. We then perform a thorough statistical analysis on their performance. In addition, we propose the idea of the Hybrid PUF structure in which two (or more) …


The Development And Packaging Of A High-Density, Three-Phase, Silicon Carbide (Sic) Motor Drive, Jared Hornberger Dec 2012

The Development And Packaging Of A High-Density, Three-Phase, Silicon Carbide (Sic) Motor Drive, Jared Hornberger

Graduate Theses and Dissertations

Technology advances within the power electronics field are resulting in systems characterized by higher operating efficiencies, reduced footprint, minimal form factor, and decreasing mass. In particular, these attributes and characteristics are being inserted into numerous consumer applications, such as light-emitting diode lighting, compact fluorescent lighting, smart phones, and tablet PCs, to industrial applications that include hybrid, electric, and plug-in electric vehicles and more electric aircraft. To achieve the increase in energy efficiency and significant reduction in size and mass of these systems, power semiconductor device manufacturers are developing silicon carbide (SiC) semiconductor technology.

In this dissertation, the author discusses the …


Characterization And Implementation Of An Injection Locked Frequency Divider Based On Relaxation Oscillator, Kai Zhu Aug 2012

Characterization And Implementation Of An Injection Locked Frequency Divider Based On Relaxation Oscillator, Kai Zhu

Doctoral Dissertations

There has been a dramatic increase in wireless awareness among the user community in the past few years. As the wireless communication devices require more integration in terms of both hardware and software, the low-power integrated circuit (IC) solution has gained higher dedication and will dominate in the future radio-frequency IC (RFIC) design. Complementary Metal-Oxide Semiconductor (CMOS) process is extremely attractive for such applications because of its low cost and the possibility to integrate baseband and high frequency circuits on the same chip. The transceiver is often the most power-hungry block in a wireless communication system. The frequency divider (prescaler) …


Delay Insensitive Ternary Logic Utilizing Cmos And Cntfet, Ravi Sankar Parameswaran Nair Aug 2012

Delay Insensitive Ternary Logic Utilizing Cmos And Cntfet, Ravi Sankar Parameswaran Nair

Graduate Theses and Dissertations

As digital circuit design continues to evolve due to progress of semiconductor processes well into the sub 100nm range, clocked architectures face limitations in a number of cases where clockless asynchronous architectures require substantially less power, generate less noise, and produce less electromagnetic interference (EMI). This dissertation develops the Delay Insensitive Ternary Logic (DITL) asynchronous design paradigm that combines the designs aspects of similar Dual-Rail asynchronous paradigms and Boolean logic to create a single wire per bit, three voltage signaling and logic scheme.

DITL is designed at the transistor level using multi-threshold CMOS and carbon nanotube (CNT) FETs to develop …


Design Of Wireless Power Transfer And Data Telemetry System For Biomedical Applications, Ashraf Bin Islam Dec 2011

Design Of Wireless Power Transfer And Data Telemetry System For Biomedical Applications, Ashraf Bin Islam

Doctoral Dissertations

With the advancement of biomedical instrumentation technologies sensor based remote healthcare monitoring system is gaining more attention day by day. In this system wearable and implantable sensors are placed outside or inside of the human body. Certain sensors are needed to be placed inside the human body to acquire the information on the vital physiological phenomena such as glucose, lactate, pH, oxygen, etc. These implantable sensors have associated circuits for sensor signal processing and data transmission. Powering the circuit is always a crucial design issue. Batteries cannot be used in implantable sensors which can come in contact with the blood …


Full Custom Vlsi Design Of On-Line Stability Checkers, Chris Y. Lee Aug 2011

Full Custom Vlsi Design Of On-Line Stability Checkers, Chris Y. Lee

Master's Theses

A stability checker is a clocked storage element, much like a flip-flop, which detects unstable and late signals in the pipeline of a digital system. The On-line stability checker operates concurrently with its associated circuit-under-test (CUT). This thesis describes the full custom very-large-scale integration (VLSI) design and testing process of On-Line Stability Checkers. The goals of this thesis are to construct and test Stability Checker designs, and to create a design template for future class projects in the EE 431 Computer-Aided Design (CAD) of VLSI Devices course at Cal Poly.

A method for concurrent fault testing called On-line Stability Checking …


Project 308: Augmented Reality Mario Kart, Joseph Abad, David Allender, Joryl Calizo, Ryan Gaspar, Gavin Lee Jun 2011

Project 308: Augmented Reality Mario Kart, Joseph Abad, David Allender, Joryl Calizo, Ryan Gaspar, Gavin Lee

Computer Engineering

Mario Kart is a popular go-kart racing game developed by Nintendo. The premise of the game is simple: drive a go-kart along a racetrack and reach the finish line before the other players. What makes this game unique, however, is the inclusion of weapons, traps, and other projectiles that a player can use to gain an advantage in the race. We have taken on the challenge of not only recreating this amazing game, but using the art of Augmented Reality to fully immerse the player in the full experience. Rather than play the game on a television screen with a …


Laser Cursor, Michael Liman Jun 2011

Laser Cursor, Michael Liman

Electrical Engineering

A user controls a cursor on a computer screen using a laser pointer. A camera picks up the laser pointer’s position and sends the data to the computer to move the cursor in the correct position.


Design For Signal Integrity In High Frequency, High Density Circuit Boards, Juan Manuel Talavera Martinez Jan 2003

Design For Signal Integrity In High Frequency, High Density Circuit Boards, Juan Manuel Talavera Martinez

Theses

The electronics market is continually seeking fast and small high performance products. The clock frequency in computer systems is often above 2GHz and new generations of laptops have considerably reduced in size. These features make printed circuit board (PCB) design more difficult than in the past.

This thesis is an investigation of the current design of high speed PCB. The complexity of this type of circuit makes the designer needs an extensive knowledge of the techniques used to generate a reliable signalling, in other words, signal integrity. To achieve this objective, the design community has to overcome several problem related …