Open Access. Powered by Scholars. Published by Universities.®

Selected Works

CIC

Publication Year

Articles 1 - 4 of 4

Full-Text Articles in Electronic Devices and Semiconductor Manufacturing

Vlsi Implementation Of Novel Class Of High Speed Pipelined Digital Signal Processing Filter For Wireless Receivers, Rozita Teymourzadeh, Yazan Samir, Shabuil Islam, Masuri Othman, Mok Vh Dec 2009

Vlsi Implementation Of Novel Class Of High Speed Pipelined Digital Signal Processing Filter For Wireless Receivers, Rozita Teymourzadeh, Yazan Samir, Shabuil Islam, Masuri Othman, Mok Vh

Dr. Rozita Teymourzadeh, CEng.

The need for high performance transceiver with high Signal to Noise Ratio (SNR) has driven the communication system to utilize latest technique identified as over sampling systems. It was the most economical modulator and decimation in communication system. It has been proven to increase the SNR and is used in many high performance systems such as in the Analog to Digital Converter (ADC) for wireless transceiver. This research work presented the design of the novel class of decimation and its VLSI implementation which was the sub-component in the over sampling technique. The design and realization of main unit of decimation …


An Enhancement Of Decimation Process Using Fast Cascaded Integrator Comb (Cic), Rozita Teymourzadeh, Masuri Othman Dec 2005

An Enhancement Of Decimation Process Using Fast Cascaded Integrator Comb (Cic), Rozita Teymourzadeh, Masuri Othman

Dr. Rozita Teymourzadeh, CEng.

The over sampling technique has been shown to increase the SNR and is used in many high performance system such as in the ADC for audio and DAT systems. This paper presents the design of the decimation and its VLSI implementation which is the sub-component in the over sampling technique. The design of three main units in the decimation stage that is the Cascaded Integrator Comb (CIC) filter, the associated half band filters and the droop correction are also described. The Verilog HDL code in Xilinx ISE environment has been derived to describe the CIC filter properties and downloaded in …


Vlsi Implementation Of Cascaded Integrator Comb Filters For Dsp Applications, Rozita Teymourzadeh, Masuri Othman Dec 2005

Vlsi Implementation Of Cascaded Integrator Comb Filters For Dsp Applications, Rozita Teymourzadeh, Masuri Othman

Dr. Rozita Teymourzadeh, CEng.

The recursive comb filters or Cascaded Integrator Comb filter (CIC) are commonly used as decimators for the sigma delta modulators. This paper presents the VLSI implementation, analysis and design of high speed CIC filters which are based on a low-pass filter. These filters are used in the signal decimation which has the effect on reducing the sampling rate. It is also chosen because its attractive property of both low power and low complexity since it dose not required a multiplier. Simulink toolbox available in Matlab software which is used to simulator and Verilog HDL coding help to verify the functionality …


On-Chip Implementation Of Cascaded Integrated Comb Filters (Cic) For Dsp Application, Rozita Teymourzadeh, Masuri Othman Dec 2004

On-Chip Implementation Of Cascaded Integrated Comb Filters (Cic) For Dsp Application, Rozita Teymourzadeh, Masuri Othman

Dr. Rozita Teymourzadeh, CEng.

This paper presents the design of a CIC filters based on a low-pass filter for reducing the sampling rate, also known as decimation process. The targeted application for the filter is in the analog to digital conversion (ADC).The CIC is chosen because of its attractive property of both low power and complexity since it dose not required multipliers. Simulink toolbox available in Matlab software is used to design and simulate the functionality of the CIC filter. This paper also shows how sample frequency is decreased by CIC filter and it can be used to give enough stop-band attenuation to prevent …