Open Access. Powered by Scholars. Published by Universities.®

Electrical and Computer Engineering Commons

Open Access. Powered by Scholars. Published by Universities.®

Utah State University

2010

Faddeev algorithm

Articles 1 - 1 of 1

Full-Text Articles in Electrical and Computer Engineering

Analysis Of Field Programmable Gate Array-Based Kalman Filter Architectures, Arvind Sudarsanam Dec 2010

Analysis Of Field Programmable Gate Array-Based Kalman Filter Architectures, Arvind Sudarsanam

All Graduate Theses and Dissertations, Spring 1920 to Summer 2023

A Field Programmable Gate Array (FPGA)-based Polymorphic Faddeev Systolic Array (PolyFSA) architecture is proposed to accelerate an Extended Kalman Filter (EKF) algorithm. A system architecture comprising a software processor as the host processor, a hardware controller, a cache-based memory sub-system, and the proposed PolyFSA as co-processor, is presented. PolyFSA-based system architecture is implemented on a Xilinx Virtex 4 family of FPGAs. Results indicate significant speed-ups for the proposed architecture when compared against a space-based software processor. This dissertation proposes a comprehensive architecture analysis that is comprised of (i) error analysis, (ii) performance analysis, and (iii) area analysis. Results are presented …