Open Access. Powered by Scholars. Published by Universities.®

Nanoscience and Nanotechnology Commons

Open Access. Powered by Scholars. Published by Universities.®

Articles 1 - 11 of 11

Full-Text Articles in Nanoscience and Nanotechnology

Mechanistic Investigation Of Antimony Carboxylate Photoresists For Euv Lithography, Michael Murphy Jan 2019

Mechanistic Investigation Of Antimony Carboxylate Photoresists For Euv Lithography, Michael Murphy

Legacy Theses & Dissertations (2009 - 2024)

In 2019, Extreme Ultraviolet (EUV) lithography begins its integration into high volume manufacturing to replace 193-nm lithography at key steps in the fabrication of integrated circuits. To achieve the requirements of the 7- and 5-nm nodes, a new photoresist technology is required to replace traditional chemically-amplified photoresists (CAR). One novel technology incorporates metal atoms with high EUV absorptivity into the photoresist. In this work, we describe the development, evaluation and mechanistic investigation of triorganoantimony(V) dicarboxylate complexes as novel photoresists for EUV lithography.


Partitioning Of Defect Sources And Defects Reduction In Euv Mask Blank Multilayer Deposition, Alin O. Antohe Jan 2019

Partitioning Of Defect Sources And Defects Reduction In Euv Mask Blank Multilayer Deposition, Alin O. Antohe

Legacy Theses & Dissertations (2009 - 2024)

Extreme ultraviolet lithography (EUVL) is the next-generation lithography technology and is slated to replace 193-nanometer argon fluoride (ArF) lithography. EUVL uses 13.5-nanometer wavelength light to expose the photoresist. In doing so it enables the technological achievement of 20-nanometer half-pitch circuits which cannot be achieved with conventional 193-nanometer optical lithography.


Secondary Electron Interactions In Exposures Of Euv Photoresists, Steven Grzeskowiak Jan 2019

Secondary Electron Interactions In Exposures Of Euv Photoresists, Steven Grzeskowiak

Legacy Theses & Dissertations (2009 - 2024)

The microelectronic industry’s movement toward smaller feature sizes has necessitated a shift to extreme ultraviolet (EUV) lithography to enable cost-effective patterning of sub 20-nm features. However, this shift from 193-nm lithography (6.4 eV) to EUV (13.5 nm, 92 eV) poses significant obstacles, such that photolithography is now operating in an energy range above the electron binding energies of common atomic species in photoresists. This significant energy increase means the chemical reactions happening within operate in the realm of radiation chemistry instead of photochemistry since the observed reactions are due almost entirely to the action of photoelectrons as they dissipate their …


Novel Uses Of Directly Patternable Silicon Oxide Based Resist For Advanced Patterning Applications, Vishal Umeshbhai Desai Jan 2017

Novel Uses Of Directly Patternable Silicon Oxide Based Resist For Advanced Patterning Applications, Vishal Umeshbhai Desai

Legacy Theses & Dissertations (2009 - 2024)

Novel applications for the directly-patternable resist material, hydrogen silsesquioxane (HSQ), were studied for multiple advanced lithography techniques. Initially, electron beam lithography (EBL) patterned low-resolution HSQ patterns were demonstrated as a mandrel in a self-aligned double patterning (SADP) approach. Using the novel EBL-SADP approach, the number of total process steps was reduced, as compared to conventional SADP methods. This work provided proof-of-concept for using HSQ resist as a directly-patternable mandrel and plasma enhanced chemical vapor deposited (PECVD) low-stress silicon nitride (LSSiN) as a spacer. Furthermore, rapid thermal annealing (RTA) was demonstrated as a method to increase the spacer etch resistance in …


Mechanisms Of Euv Exposure : Photons, Electrons And Holes, Amrit Kausik Narasimhan Jan 2017

Mechanisms Of Euv Exposure : Photons, Electrons And Holes, Amrit Kausik Narasimhan

Legacy Theses & Dissertations (2009 - 2024)

The microelectronics industry’s movement toward smaller and smaller feature sizes has necessitated a shift to Extreme Ultra-Violet (EUV) lithography to be able to pattern sub 20-nm features, much like earlier shifts from i-line to 248 nm. However, this shift from 193-nm lithography to EUV (13.5 nm) poses significant obstacles. EUV is the first optical lithography to operate in an energy range (92 eV per photon vs. 6.4 eV per photon for 193 nm lithography) above the electron binding energies of common resist atomic species. This significant energy increase complicates resist design. For exposures of equal dose, resists receive 14 times …


Molecular Organometallic Resists Of Tin And Tellurium, Ryan Del Re Jan 2015

Molecular Organometallic Resists Of Tin And Tellurium, Ryan Del Re

Legacy Theses & Dissertations (2009 - 2024)

EUV photoresists made from organotin and organotellurium dicarboxylates were lithographically evaluated for photosensitivity and dense-line patterning. The effects of ligand structure and central metal are explored through systematic molecular modification. Through this work, two photoresists were discovered that are capable of high resolution and low line-edge roughness. Dibenzyltin dipivalate resolves 22-nm dense lines with 1.4-nm LER and dibenzyltin dibenzoate resolves 35-nm dense lines with 1.1-nm LER.


Nanoparticle Generation And Interactions With Surfaces In Vacuum Systems, Yashdeep Khopkar Jan 2015

Nanoparticle Generation And Interactions With Surfaces In Vacuum Systems, Yashdeep Khopkar

Legacy Theses & Dissertations (2009 - 2024)

Extreme ultraviolet lithography (EUVL) is the most likely candidate as the next generation technology beyond immersion lithography to be used in high volume manufacturing in the semiconductor industry. One of the most problematic areas in the development process is the fabrication of mask blanks used in EUVL. As the masks are reflective, there is a chance that any surface aberrations in the form of bumps or pits could be printed on the silicon wafers. There is a strict tolerance to the number density of such defects on the mask that can be used in the final printing process. Bumps on …


Characterization Of Extreme Ultraviolet Lithography Photoresists Using Advanced Metrology And Fitting Techniques, Genevieve Kane Jan 2014

Characterization Of Extreme Ultraviolet Lithography Photoresists Using Advanced Metrology And Fitting Techniques, Genevieve Kane

Legacy Theses & Dissertations (2009 - 2024)

As extreme ultraviolet lithography (EUVL) prepares to be incorporated into high volume manufacturing, many challenges must be addressed. Among these challenges, a need for photoresist improvement exists. The work described here will look into some of the problems and challenges facing EUV resists, in particular out-of-band (OOB) wavelengths of light and their interaction with photoresists. Studies have been completed on the effect of out-of-band light on photoresists [1]-[3]. It is imperative that solutions to suppress the deep ultraviolet (DUV) OOB light be incorporated into next generation EUV production tools due to concerns of decreased performance of lithography, and an increase …


Novel Resist Systems For Euv Lithography : Ler, Chain-Scission, Nanoparticle And More, Brian Cardineau Jan 2013

Novel Resist Systems For Euv Lithography : Ler, Chain-Scission, Nanoparticle And More, Brian Cardineau

Legacy Theses & Dissertations (2009 - 2024)

Extreme Ultraviolet (EUV) lithography is currently the best option for replacing 193-nm lithography in future IC fabrication. For EUV to be successful, however, there are a number of challenges that must be overcome. Current resist designs struggle to meet the demands of future lithography nodes. We propose the best way to overcome these obstacles is through the design of novel resist systems.


Fluorinated Acid Amplifiers For Extreme Ultraviolet Lithography, Seth Aaron Kruger Jan 2011

Fluorinated Acid Amplifiers For Extreme Ultraviolet Lithography, Seth Aaron Kruger

Legacy Theses & Dissertations (2009 - 2024)

Extreme ultraviolet lithography (EUV) is a promising candidate for next generation lithography. Although EUV has great potential there are still many challenges that must be solved before the technology can be implemented in the high volume manufacturing of semiconductor devices. The lithographic performance of EUV photoresists is one aspect that requires improvement. Particularly, EUV resists need simultaneous improvements in three properties: resolution, line-edge-roughness and sensitivity. The incorporation of acid amplifiers (AAs) in resists is one method to improve all three properties.


Extreme Ultraviolet Photoresists : Film Quantum Yields And Ler Of Thin Film Resists, Craig D. Higgins Jan 2011

Extreme Ultraviolet Photoresists : Film Quantum Yields And Ler Of Thin Film Resists, Craig D. Higgins

Legacy Theses & Dissertations (2009 - 2024)

Extreme ultraviolet (EUV) is the leading candidate for a commercially viable solution for next generation lithography. The development of EUV chemically amplified photoresists and processes are critical to the future lithographic requirements of the microelectronics industry. To meet the necessary requirements for both integrated circuit (IC) specifications and cost, the resolution, line-edge roughness (LER) and sensitivity all need to be reduced. Unfortunately, a fundamental trade-off has been observed between these three crucial elements. We have predicted that the best way to obtain the required resolution, line-edge roughness and sensitivity (RLS) is to create more acid molecules per photon absorbed. This …