Open Access. Powered by Scholars. Published by Universities.®

Nanoscience and Nanotechnology Commons

Open Access. Powered by Scholars. Published by Universities.®

Articles 1 - 30 of 43

Full-Text Articles in Nanoscience and Nanotechnology

Device Engineering Of Algan/Gan Hemts For Applications In Power-Electronic And Sensing, Isra Mahaboob May 2019

Device Engineering Of Algan/Gan Hemts For Applications In Power-Electronic And Sensing, Isra Mahaboob

Legacy Theses & Dissertations (2009 - 2024)

The research work presented in this Ph.D. thesis focuses on the engineering of AlGaN/GaN high electron mobility transistors (HEMTs) for the development of future device technology in power electronic and sensing applications.


Investigation Of Optical Second Harmonic Generation From Si (100) With Process Tailored Surface & Embedded Ag Nanostructures For Advanced Si Nonlinear Nanophotonics, Gourav Bhowmik Jan 2019

Investigation Of Optical Second Harmonic Generation From Si (100) With Process Tailored Surface & Embedded Ag Nanostructures For Advanced Si Nonlinear Nanophotonics, Gourav Bhowmik

Legacy Theses & Dissertations (2009 - 2024)

The challenge of current microelectronic architecture in transmission bandwidth and power consumption can be potentially solved by using silicon photonics technologies that are compatible with modern CMOS fabrication. One of the critical active photonic devices for Si photonics is a Si based optical modulator. Most of the reported silicon modulators rely on the free carrier plasma dispersion effect. In those cases, a weak change of the refractive index obtained by carrier accumulation, injection or depletion is utilized in a Mach-Zehnder interferometer or a microring resonator to achieve intensity modulation, rendering them difficult for chip-level implementation due to a large footprint …


Exploring Gated Nanoelectronic Devices Fabricated From 1d And 2d Materials, Prathamesh A. Dhakras Jan 2019

Exploring Gated Nanoelectronic Devices Fabricated From 1d And 2d Materials, Prathamesh A. Dhakras

Legacy Theses & Dissertations (2009 - 2024)

One and two dimensional materials are being extensively researched toward potential application as ultra-thin body channel materials. The difficulty of implementing physical doping methods in these materials has necessitated various alternative doping schemes, the most promising of which is the electrostatic gating technique due to its reconfigurability. This dissertation explores the different fundamental devices that can be fabricated and characterized by taking advantage of the electrostatic gating of individual single-walled carbon nanotubes (SWNTs), dense SWNT networks and exfoliated 2D tungsten diselenide (WSe2) flakes.


Tetradymite Topological Insulators : Towards High Performance Broadband Photodetection, Asish Parbatani Jan 2019

Tetradymite Topological Insulators : Towards High Performance Broadband Photodetection, Asish Parbatani

Legacy Theses & Dissertations (2009 - 2024)

Topological insulators are characterized by the presence of a finite energy gap in the bulk state and a conducting metallic surface state consisting of odd number of Dirac cones. The conducting surface states are along the edge boundaries, free from disorders and are protected by time reversal symmetry. The presence of Dirac cone leads to universal optical absorption phenomenon like graphene. This phenomenon of universal optical absorption leads to frequency independent photoexcitation of carriers. Bi2Te3, Sb2Te3 and Bi2Se3 belong to tetradymite topological insulators (TTI) family and are often referred to as 3D layered materials. Theoretical predictions characterize TTIs by low …


Impact Of Spiro Ammonium Electrolytes On Electric Double Layer Capacitors, Donald Derosa Jan 2018

Impact Of Spiro Ammonium Electrolytes On Electric Double Layer Capacitors, Donald Derosa

Legacy Theses & Dissertations (2009 - 2024)

Electric Double Layer Capacitors (EDLC) are high power (103 W kg-1), durable (>500,000 cycles) energy storage devices that are promising candidates for Hybrid Energy Storage Systems (HESS) , automotive and smart grid applications. EDLCs when coupled with lithium ion batteries in HESS configurations for hybrid vehicles have been shown to reduce capacity related degradation by a factor of 2 and impedance related degradation by 5.9. Despite these promising advantages, the adoption of EDLCs has been hampered due to concerns regarding the low volumetric and gravimetric energy density of these devices. Researchers have attempted to address these concerns through the …


Growth Of Epitaxial Graphene On Single Crystal Copper Surfaces By Chemical Vapor Deposition, Tyler Rutley Mowll Jan 2018

Growth Of Epitaxial Graphene On Single Crystal Copper Surfaces By Chemical Vapor Deposition, Tyler Rutley Mowll

Legacy Theses & Dissertations (2009 - 2024)

Graphene is of significant interest due to its unique properties, such as high carrier mobility, mechanical strength, and thermal conductivity. Potential applications include next generation transistors, interconnects, biological and chemical sensing devices, and super capacitors. The research presented here addresses unresolved questions regarding the nucleation and growth of graphene by chemical vapor deposition (CVD) on the high index surfaces of copper single crystals. While much CVD graphene growth has been performed on copper foils, the polycrystalline nature of the foils renders large-scale single domain growth of graphene difficult. For this reason, many groups seek to reduce the nucleation rate of …


Zno Nanowires For Advanced X-Ray Source Applications, Vance Scott Robinson Jan 2018

Zno Nanowires For Advanced X-Ray Source Applications, Vance Scott Robinson

Legacy Theses & Dissertations (2009 - 2024)

X-ray system technology trends tend towards simpler, smaller and more efficient devices. A broad range of applications are driving contemporary efforts in X-ray source miniaturization: Portable and/or remote nondestructive evaluation; High throughput protein crystallography, invasive radiotherapy; monitoring fluid flow and particulate generation in situ; and portable radiography devices for battle-front or large scale disaster triage scenarios. Most of the x-ray sources for these applications follow a top-down approach focused on improving portability, and reducing mass and/or size.


Computational Optimization And Characterization Of Molecularly Imprinted Polymers, Jacob Jordan Terracina Jan 2017

Computational Optimization And Characterization Of Molecularly Imprinted Polymers, Jacob Jordan Terracina

Legacy Theses & Dissertations (2009 - 2024)

Molecularly imprinted polymers (MIPs) are a class of materials containing sites capable of selectively binding to the imprinted target molecule. Computational chemistry techniques were used to study the effect of different fabrication parameters (the monomer-to-target ratios, pre-polymerization solvent, temperature, and pH) on the formation of the MIP binding sites. Imprinted binding sites were built in silico for the purposes of better characterizing the receptor – ligand interactions. Chiefly, the sites were characterized with respect to their selectivities and the heterogeneity between sites.


Modeling And Studying The Effect Of Texture And Elastic Anisotropy Of Copper Microstructure In Nanoscale Interconnects On Reliability In Integrated Circuits, Adarsh Basavalingappa Jan 2017

Modeling And Studying The Effect Of Texture And Elastic Anisotropy Of Copper Microstructure In Nanoscale Interconnects On Reliability In Integrated Circuits, Adarsh Basavalingappa

Legacy Theses & Dissertations (2009 - 2024)

Copper interconnects are typically polycrystalline and follow a lognormal grain size distribution. Polycrystalline copper interconnect microstructures with a lognormal grain size distribution were obtained with a Voronoi tessellation approach. The interconnect structures thus obtained were used to study grain growth mechanisms, grain boundary scattering, scattering dependent resistance of interconnects, stress evolution, vacancy migration, reliability life times, impact of orientation dependent anisotropy on various mechanisms, etc. In this work, the microstructures were used to study the impact of microstructure and elastic anisotropy of copper on thermal and electromigration induced failure.


Novel Uses Of Directly Patternable Silicon Oxide Based Resist For Advanced Patterning Applications, Vishal Umeshbhai Desai Jan 2017

Novel Uses Of Directly Patternable Silicon Oxide Based Resist For Advanced Patterning Applications, Vishal Umeshbhai Desai

Legacy Theses & Dissertations (2009 - 2024)

Novel applications for the directly-patternable resist material, hydrogen silsesquioxane (HSQ), were studied for multiple advanced lithography techniques. Initially, electron beam lithography (EBL) patterned low-resolution HSQ patterns were demonstrated as a mandrel in a self-aligned double patterning (SADP) approach. Using the novel EBL-SADP approach, the number of total process steps was reduced, as compared to conventional SADP methods. This work provided proof-of-concept for using HSQ resist as a directly-patternable mandrel and plasma enhanced chemical vapor deposited (PECVD) low-stress silicon nitride (LSSiN) as a spacer. Furthermore, rapid thermal annealing (RTA) was demonstrated as a method to increase the spacer etch resistance in …


Exploring Magnetic Nanostructures Embedded Within Single-Crystal Silicon For Generation Of Spin-Polarized Carriers, Machara Krishna Girish Malladi Jan 2017

Exploring Magnetic Nanostructures Embedded Within Single-Crystal Silicon For Generation Of Spin-Polarized Carriers, Machara Krishna Girish Malladi

Legacy Theses & Dissertations (2009 - 2024)

Integrating magnetic functionalities with silicon holds the promise of developing, in the most dominant semiconductor, a paradigm-shift information technology based on the manipulation and control of electron spin and charge. Here, we demonstrate an ion implantation approach enabling the synthesis of a ferromagnetic layer within a defect free Si environment by exploiting an additional implant of hydrogen in a region deep below the metal implanted layer. Upon post-implantation annealing, nanocavities created within the H-implanted region act as trapping sites for gettering the implanted metal species, resulting in the formation of metal nanoparticles in a Si region of excellent crystal quality. …


Multifunctional Nanomaterials Utilizing Hybridization Chain Reaction For Molecular Diagnostics And Bioanalytical Applications, Md Muhit Rana Jan 2017

Multifunctional Nanomaterials Utilizing Hybridization Chain Reaction For Molecular Diagnostics And Bioanalytical Applications, Md Muhit Rana

Legacy Theses & Dissertations (2009 - 2024)

DNA nanotechnology has shown great promise in molecular diagnostic, bioanalytical and biomedical applications. The great challenge of detecting target analytes, biomarkers and small molecules, in molecular diagnostics is low yield sensitivity. To address this challenge, different nanomaterials have been used for a long time and to date there is no such cost-effective bioanalytical technique which can detect these target biomarkers (DNA, RNA, circulating DNA/miRNA) or environmental heavy metal ions (Hg2+ and Ag+) in a cost-effective and efficient manner.


Optical Metrology For Cigs Solar Cell Manufacturing And Its Cost Implications, Sravan Kumar Sunkoju Jan 2016

Optical Metrology For Cigs Solar Cell Manufacturing And Its Cost Implications, Sravan Kumar Sunkoju

Legacy Theses & Dissertations (2009 - 2024)

Solar energy is a promising source of renewable energy which can meet the demand for clean energy in near future with advances in research in the field of photovoltaics and cost reduction by commercialization. Availability of a non-contact, in-line, real time robust process control strategies can greatly aid in reducing the gap between cell and module efficiencies, thereby leading to cost-effective large-scale manufacturing of high efficiency CIGS solar cells. In order to achieve proper process monitoring and control for the deposition of the functional layers of CuIn1-xGaxSe2 (CIGS) based thin film solar cell, optical techniques such as spectroscopic reflectometry and …


Tailoring The Optical Properties Of Silicon With Ion Beam Created Nanostructures For Advanced Photonics Applications, Perveen Akhter Jan 2016

Tailoring The Optical Properties Of Silicon With Ion Beam Created Nanostructures For Advanced Photonics Applications, Perveen Akhter

Legacy Theses & Dissertations (2009 - 2024)

In today’s fast life, energy consumption has increased more than ever and with that the demand for a renewable and cleaner energy source as a substitute for the fossil fuels has also increased. Solar radiations are the ultimate source of energy but harvesting this energy in a cost effective way is a challenging task. Si is the dominating material for microelectronics and photovoltaics. But owing to its indirect band gap, Si is an inefficient light absorber, thus requiring a thickness of solar cells beyond tens of microns which increases the cost of solar energy. Therefore, techniques to increase light absorption …


Investigating The Size Dependent Material Properties Of Nanoceria, Bushra B. Alam Jan 2016

Investigating The Size Dependent Material Properties Of Nanoceria, Bushra B. Alam

Legacy Theses & Dissertations (2009 - 2024)

Nanoceria is widely being investigated for applications as support materials for fuel cell catalysts, free radical scavengers, and as chemical and mechanical abrasives due to its high antioxidant capacity and its oxygen buffering capacity. This antioxidant or oxygen buffering capacity has been reported to be highly size dependent and related to its redox properties. However, the quantification of this antioxidant capacity has not been well defined or understood and has been often been carried out using colorimetric assays which do not directly correlate to ceria nanoparticle properties. Fabrication rules for developing materials with optimal antioxidant/oxygen buffering capacities are not yet …


Texture And Microstructure Of Ipvd Copper Manganese Seed In 1 Μm & 70 Nm Wide Damascene Trenches, Robert Stuart Brown Jan 2016

Texture And Microstructure Of Ipvd Copper Manganese Seed In 1 Μm & 70 Nm Wide Damascene Trenches, Robert Stuart Brown

Legacy Theses & Dissertations (2009 - 2024)

This thesis describes the grain texture and microstructure of Ionized Physical Vapor Deposition (iPVD) Copper Manganese seed in 1 µm and 70 nm wide damascene trenches. Using Transmission Electron Microscopy (TEM) imaging and diffraction pattern analysis, the grain size and general orientation of the grains were determined. It was found that the 1 µm wide trenches contained larger grains and more texture than that of the 70 nm wide trenches. While this thesis builds upon previous work by Brendan O’Brien in the Dunn group, one significantly different finding will be presented regarding the structure on the sidewall of the trenches. …


Molecular Organometallic Resists Of Tin And Tellurium, Ryan Del Re Jan 2015

Molecular Organometallic Resists Of Tin And Tellurium, Ryan Del Re

Legacy Theses & Dissertations (2009 - 2024)

EUV photoresists made from organotin and organotellurium dicarboxylates were lithographically evaluated for photosensitivity and dense-line patterning. The effects of ligand structure and central metal are explored through systematic molecular modification. Through this work, two photoresists were discovered that are capable of high resolution and low line-edge roughness. Dibenzyltin dipivalate resolves 22-nm dense lines with 1.4-nm LER and dibenzyltin dibenzoate resolves 35-nm dense lines with 1.1-nm LER.


Two-Dimensional Chalcogenides : Material Synthesis And Nano-Device Applications, Robin Bay Jacobs-Gedrim Jan 2015

Two-Dimensional Chalcogenides : Material Synthesis And Nano-Device Applications, Robin Bay Jacobs-Gedrim

Legacy Theses & Dissertations (2009 - 2024)

Low-dimensional nanostructures exhibit distinct properties from their bulk counterparts. Here the synthesis of novel low-dimensional nanostructures is demonstrated using both top down and bottom up processes and their properties are investigated. Two-dimensional (2D) binary sesquichalcogenides are introduced as a viable material platform for phase change random access memory, photodetection, and the investigation of topological insulator surface states. An exponential relationship is observed between layer thickness and energy consumption during switching of 2D phase change devices, ultra-high responsivity in 2D photoresistors, and surface-rich conduction in 2D topological insulator nanoplates. Additionally, methods for the assessment of chemical purity, stoichiometry, and dimensions of …


The Impact Of Seed Layer Structure On The Recrystallization Of Ecd Cu And Its Alloys, Brendan B. O'Brien Jan 2015

The Impact Of Seed Layer Structure On The Recrystallization Of Ecd Cu And Its Alloys, Brendan B. O'Brien

Legacy Theses & Dissertations (2009 - 2024)

Despite the significant improvements originally offered by the use of Cu over Al as the interconnect material for semiconductor devices, the continued down-scaling of interconnects has presented significant challenges for semiconductor engineers. As the metal line widths shrink, both the conductivity and reliability of lines decrease due to a stubbornly fine-grained microstructure in narrow lines.


Characterization Of Metallic And Semimetallic Oxide Nanoparticles In Industrial Wastewater And Associated Toxicity, Gary Roth Jan 2015

Characterization Of Metallic And Semimetallic Oxide Nanoparticles In Industrial Wastewater And Associated Toxicity, Gary Roth

Legacy Theses & Dissertations (2009 - 2024)

Engineered nanomaterials (ENMs) play an increasing role in manufacturing and consumer products. Currently, there is no standard approach to studying ENM toxicity, and a growing body of literature suggests that ENMs may have toxicity differing from similar compounds in bulk or dissolved form. I examined ENMs used in the semiconductor manufacturing process called chemical-mechanical planarization (CMP) for their properties, removal in the wastewater treatment system (WWT), in-vitro toxicity, and location post-inhalation in-vivo. It was found that ENMs in CMP slurries have morphology determined by their elemental composition, but assessment of size and concentration can differ substantially between accepted techniques. Particles …


Investigating Quantum Oscillations In The Thermal Coefficient Of Resistivity Of Ultra-Thin Ag Capping Layers On Cu For Ic Interconnect Applications, Elroy Tatem Jan 2014

Investigating Quantum Oscillations In The Thermal Coefficient Of Resistivity Of Ultra-Thin Ag Capping Layers On Cu For Ic Interconnect Applications, Elroy Tatem

Legacy Theses & Dissertations (2009 - 2024)

As the semiconductor industry continues to scale feature sizes, scattering from phonons, surfaces, and grain boundaries result in an increase of metal interconnect resistivity in state-of-the-art integrated circuits (ICs). The interconnect chapter of the 2011 International Technology Roadmap for Semiconductors (ITRS) stated that there are currently no manufacturable solutions in the near term for suitable Cu replacements. Previous studies of thin Ag films deposited on Cu demonstrated oscillations in the electron-phonon interactions within the bilayer system. This thesis investigates oscillations in the resistive properties of the Ag/Cu bilayer system and discusses the applicability of these oscillations to the resistivity challenges …


Nanostructured Tiox As A Catalyst Support Material For Proton Exchange Membrane Fuel Cells, Richard Phillips Jan 2014

Nanostructured Tiox As A Catalyst Support Material For Proton Exchange Membrane Fuel Cells, Richard Phillips

Legacy Theses & Dissertations (2009 - 2024)

Recent interest in the development of new catalyst support materials for proton exchange membrane fuel cells (PEMFCs) has stimulated research into the viability of TiO2-based support structures. Specifically, substoichiometric TiO2 (TiOx) has been reported to exhibit a combination of high conductivity, stability, and corrosion resistance. These properties make TiOx-based support materials a promising prospect when considering the inferior corrosion resistance of traditional carbon-based supports. This document presents an investigation into the formation of conductive and stable TiOx thin films employing atomic layer deposition (ALD) and a post deposition oxygen reducing anneal (PDORA). …


Exposure Assessment And Risk Management Of Engineered Nanoparticles : Investigation In Semiconductor Wafer Processing, Michele Shepard Jan 2014

Exposure Assessment And Risk Management Of Engineered Nanoparticles : Investigation In Semiconductor Wafer Processing, Michele Shepard

Legacy Theses & Dissertations (2009 - 2024)

Engineered nanomaterials (ENMs) are currently used in hundreds of commercial products and industrial processes, with more applications being investigated. Nanomaterials have unique properties that differ from bulk materials. While these properties may enable technological advancements, the potential risks of ENMs to people and the environment are not yet fully understood. Certain low solubility nanoparticles are more toxic than their bulk material, such that existing occupational exposure limits may not be sufficiently protective for workers. Risk assessments are currently challenging due to gaps in data on the numerous emerging materials and applications as well as method uncertainties and limitations.


Fundamental Studies Of Supported Graphene Interfaces : Defect Density Of States In Graphene Field Effect Transistors (Fets) And Ideal Graphene - Silicon Schottky Diodes, Dhiraj Sinha Jan 2014

Fundamental Studies Of Supported Graphene Interfaces : Defect Density Of States In Graphene Field Effect Transistors (Fets) And Ideal Graphene - Silicon Schottky Diodes, Dhiraj Sinha

Legacy Theses & Dissertations (2009 - 2024)

The physics of transport in atomically thin 2D materials is an active area of research, important for understanding fundamental properties of reduced dimensional materials and for applications. New phenomena based on graphene may include properties of topologically protected insulators. Applications of these materials are envisioned in electronics, optoelectronics and spintronics.


Growth And Characterization Of Graphene On Cuni Substrates, Parul Tyagi Jan 2014

Growth And Characterization Of Graphene On Cuni Substrates, Parul Tyagi

Legacy Theses & Dissertations (2009 - 2024)

Graphene is a single layer of sp2 bonded carbon atoms that crystallizes in the honeycomb structure. Because of its true two-dimensional structure, it has very unique electrical properties, including a very high carrier mobility that is symmetric for holes and electrons. To realize these unique properties, it is important to develop a method for growing graphene films with uniform thickness and low defect density. One of the most popular methods of growth is by chemical vapor deposition on Cu substrates, because it is self-limited. However many applications require the growth of graphene films that are more than one atomic layer …


Radiation Effects In Gate-All-Around Silicon Nanowire Mosfets And Carbon Nanotube P-N Diodes, Everett Steven Comfort Jan 2014

Radiation Effects In Gate-All-Around Silicon Nanowire Mosfets And Carbon Nanotube P-N Diodes, Everett Steven Comfort

Legacy Theses & Dissertations (2009 - 2024)

The scaling of MOSFETs has resulted in short channel effects that increase their power consumption above acceptable levels. In order to lower the power dissipation, new device designs and materials are being considered. For example, multiple-gate MOSFETs, including the gate-all-around silicon nanowire (GAA SiNW) MOSFET, are known to reduce short channel effects. Furthermore, new high-mobility channel materials such as single-walled carbon nanotubes (SWNTs) can be integrated to allow for further scaling of the supply voltage, again aiding in lowering power dissipation.


Biomacromolecule Conjugated Nanofiber Scaffold For Salivary Gland Tissue Engineering, Kavitha Jayarathanam Jan 2014

Biomacromolecule Conjugated Nanofiber Scaffold For Salivary Gland Tissue Engineering, Kavitha Jayarathanam

Legacy Theses & Dissertations (2009 - 2024)

ABSTRACT :


First-Principles Study Of The Electric Field Effect On The Water-Adsorbed Rutile Titanium Dioxide Surface, Abraham L. Hmiel Jan 2014

First-Principles Study Of The Electric Field Effect On The Water-Adsorbed Rutile Titanium Dioxide Surface, Abraham L. Hmiel

Legacy Theses & Dissertations (2009 - 2024)

TiO2 is a semiconducting material that has been used extensively in many industrial applications, and recently has become a candidate for photocatalytic water splitting, fuel cell anode support materials, sensors, and other novel nanodevices. The interface of TiO2 with water, historically well-studied but still poorly understood, presents a ubiquitous environmental challenge towards the ultimate practical usefulness of these technologies. Ground-state density functional theory (DFT) calculations studying the characteristics of molecular adsorption on model surfaces have been studied for decades, showing constant improvement in the description of the energetics and electronic structure at interfaces. These simulations are invaluable in the …


Metrology Of Epitaxial Thin Films And Periodic Nanostructures Using High Resolution X-Ray Diffraction Techniques, Manasa Medikonda Jan 2014

Metrology Of Epitaxial Thin Films And Periodic Nanostructures Using High Resolution X-Ray Diffraction Techniques, Manasa Medikonda

Legacy Theses & Dissertations (2009 - 2024)

The continued scaling of device size to achieve higher performance and/or lower power operation at lower cost is driving research and development into new, 3D transistor structures such as the FinFET. This research and development effort is highlighting the need for new, advanced measurement capability that is highly accurate, reliable, rapid, and nondestructive. Periodic arrays of fin structures enable process monitoring at each level of fabrication and the maintenance of overall device yield. High resolution x-ray diffraction (HR XRD) has been shown to provide unique capability of characterizing blanket thin films and structural parameters of periodic arrays of fins fabricated …


Understanding Defect Interactions In Si Ultra-Shallow P-N Junctions Formed By Very Low Energy Boron Implantation, Lakshmanan H. Vanamurthy Jan 2013

Understanding Defect Interactions In Si Ultra-Shallow P-N Junctions Formed By Very Low Energy Boron Implantation, Lakshmanan H. Vanamurthy

Legacy Theses & Dissertations (2009 - 2024)

One of the biggest challenges in the scaling of CMOS devices is the formation of a highly activated, abrupt, defect free Source drain extension (SDE) region. This is especially difficult with p-FET's because of the (1) Boron diffusion co-efficient enhancement from Transient enhanced diffusion (TED) and (2) low solid solubility of