Open Access. Powered by Scholars. Published by Universities.®

Nanoscience and Nanotechnology Commons

Open Access. Powered by Scholars. Published by Universities.®

Articles 1 - 18 of 18

Full-Text Articles in Nanoscience and Nanotechnology

Partitioning Of Defect Sources And Defects Reduction In Euv Mask Blank Multilayer Deposition, Alin O. Antohe Jan 2019

Partitioning Of Defect Sources And Defects Reduction In Euv Mask Blank Multilayer Deposition, Alin O. Antohe

Legacy Theses & Dissertations (2009 - 2024)

Extreme ultraviolet lithography (EUVL) is the next-generation lithography technology and is slated to replace 193-nanometer argon fluoride (ArF) lithography. EUVL uses 13.5-nanometer wavelength light to expose the photoresist. In doing so it enables the technological achievement of 20-nanometer half-pitch circuits which cannot be achieved with conventional 193-nanometer optical lithography.


Secondary Electron Interactions In Exposures Of Euv Photoresists, Steven Grzeskowiak Jan 2019

Secondary Electron Interactions In Exposures Of Euv Photoresists, Steven Grzeskowiak

Legacy Theses & Dissertations (2009 - 2024)

The microelectronic industry’s movement toward smaller feature sizes has necessitated a shift to extreme ultraviolet (EUV) lithography to enable cost-effective patterning of sub 20-nm features. However, this shift from 193-nm lithography (6.4 eV) to EUV (13.5 nm, 92 eV) poses significant obstacles, such that photolithography is now operating in an energy range above the electron binding energies of common atomic species in photoresists. This significant energy increase means the chemical reactions happening within operate in the realm of radiation chemistry instead of photochemistry since the observed reactions are due almost entirely to the action of photoelectrons as they dissipate their …


Mechanistic Investigation Of Antimony Carboxylate Photoresists For Euv Lithography, Michael Murphy Jan 2019

Mechanistic Investigation Of Antimony Carboxylate Photoresists For Euv Lithography, Michael Murphy

Legacy Theses & Dissertations (2009 - 2024)

In 2019, Extreme Ultraviolet (EUV) lithography begins its integration into high volume manufacturing to replace 193-nm lithography at key steps in the fabrication of integrated circuits. To achieve the requirements of the 7- and 5-nm nodes, a new photoresist technology is required to replace traditional chemically-amplified photoresists (CAR). One novel technology incorporates metal atoms with high EUV absorptivity into the photoresist. In this work, we describe the development, evaluation and mechanistic investigation of triorganoantimony(V) dicarboxylate complexes as novel photoresists for EUV lithography.


Novel Uses Of Directly Patternable Silicon Oxide Based Resist For Advanced Patterning Applications, Vishal Umeshbhai Desai Jan 2017

Novel Uses Of Directly Patternable Silicon Oxide Based Resist For Advanced Patterning Applications, Vishal Umeshbhai Desai

Legacy Theses & Dissertations (2009 - 2024)

Novel applications for the directly-patternable resist material, hydrogen silsesquioxane (HSQ), were studied for multiple advanced lithography techniques. Initially, electron beam lithography (EBL) patterned low-resolution HSQ patterns were demonstrated as a mandrel in a self-aligned double patterning (SADP) approach. Using the novel EBL-SADP approach, the number of total process steps was reduced, as compared to conventional SADP methods. This work provided proof-of-concept for using HSQ resist as a directly-patternable mandrel and plasma enhanced chemical vapor deposited (PECVD) low-stress silicon nitride (LSSiN) as a spacer. Furthermore, rapid thermal annealing (RTA) was demonstrated as a method to increase the spacer etch resistance in …


Mechanisms Of Euv Exposure : Photons, Electrons And Holes, Amrit Kausik Narasimhan Jan 2017

Mechanisms Of Euv Exposure : Photons, Electrons And Holes, Amrit Kausik Narasimhan

Legacy Theses & Dissertations (2009 - 2024)

The microelectronics industry’s movement toward smaller and smaller feature sizes has necessitated a shift to Extreme Ultra-Violet (EUV) lithography to be able to pattern sub 20-nm features, much like earlier shifts from i-line to 248 nm. However, this shift from 193-nm lithography to EUV (13.5 nm) poses significant obstacles. EUV is the first optical lithography to operate in an energy range (92 eV per photon vs. 6.4 eV per photon for 193 nm lithography) above the electron binding energies of common resist atomic species. This significant energy increase complicates resist design. For exposures of equal dose, resists receive 14 times …


Molecular Organometallic Resists Of Tin And Tellurium, Ryan Del Re Jan 2015

Molecular Organometallic Resists Of Tin And Tellurium, Ryan Del Re

Legacy Theses & Dissertations (2009 - 2024)

EUV photoresists made from organotin and organotellurium dicarboxylates were lithographically evaluated for photosensitivity and dense-line patterning. The effects of ligand structure and central metal are explored through systematic molecular modification. Through this work, two photoresists were discovered that are capable of high resolution and low line-edge roughness. Dibenzyltin dipivalate resolves 22-nm dense lines with 1.4-nm LER and dibenzyltin dibenzoate resolves 35-nm dense lines with 1.1-nm LER.


Nanoparticle Generation And Interactions With Surfaces In Vacuum Systems, Yashdeep Khopkar Jan 2015

Nanoparticle Generation And Interactions With Surfaces In Vacuum Systems, Yashdeep Khopkar

Legacy Theses & Dissertations (2009 - 2024)

Extreme ultraviolet lithography (EUVL) is the most likely candidate as the next generation technology beyond immersion lithography to be used in high volume manufacturing in the semiconductor industry. One of the most problematic areas in the development process is the fabrication of mask blanks used in EUVL. As the masks are reflective, there is a chance that any surface aberrations in the form of bumps or pits could be printed on the silicon wafers. There is a strict tolerance to the number density of such defects on the mask that can be used in the final printing process. Bumps on …


Experimental And Simulation Studies Of Printability Of Buried Euv Mask Defects And Study Of Euv Reflectivity Loss Mechanisms Due To Standard Euv Mask Cleaning Processes, Mihirkant Upadhyaya Jan 2014

Experimental And Simulation Studies Of Printability Of Buried Euv Mask Defects And Study Of Euv Reflectivity Loss Mechanisms Due To Standard Euv Mask Cleaning Processes, Mihirkant Upadhyaya

Legacy Theses & Dissertations (2009 - 2024)

There's a big push for development and commercialization of extreme ultraviolet (EUV) lithography for high-volume semiconductor manufacturing of 14 nm half-pitch patterning and beyond. One of the primary concerns for making this a reality has been the ability to achieve defect-free masks. My study is focused on two aspects related to the performance degradation of the EUV masks namely EUV mask cleaning induced reflectivity loss mechanisms, and the buried multilayer phase defects in EUV masks.


Characterization Of Extreme Ultraviolet Lithography Photoresists Using Advanced Metrology And Fitting Techniques, Genevieve Kane Jan 2014

Characterization Of Extreme Ultraviolet Lithography Photoresists Using Advanced Metrology And Fitting Techniques, Genevieve Kane

Legacy Theses & Dissertations (2009 - 2024)

As extreme ultraviolet lithography (EUVL) prepares to be incorporated into high volume manufacturing, many challenges must be addressed. Among these challenges, a need for photoresist improvement exists. The work described here will look into some of the problems and challenges facing EUV resists, in particular out-of-band (OOB) wavelengths of light and their interaction with photoresists. Studies have been completed on the effect of out-of-band light on photoresists [1]-[3]. It is imperative that solutions to suppress the deep ultraviolet (DUV) OOB light be incorporated into next generation EUV production tools due to concerns of decreased performance of lithography, and an increase …


Novel Resist Systems For Euv Lithography : Ler, Chain-Scission, Nanoparticle And More, Brian Cardineau Jan 2013

Novel Resist Systems For Euv Lithography : Ler, Chain-Scission, Nanoparticle And More, Brian Cardineau

Legacy Theses & Dissertations (2009 - 2024)

Extreme Ultraviolet (EUV) lithography is currently the best option for replacing 193-nm lithography in future IC fabrication. For EUV to be successful, however, there are a number of challenges that must be overcome. Current resist designs struggle to meet the demands of future lithography nodes. We propose the best way to overcome these obstacles is through the design of novel resist systems.


Local Area Mask Patterning Of Extreme Ultraviolet Lithography Reticles For Native Defect Analysis, Adam Lyons Jan 2013

Local Area Mask Patterning Of Extreme Ultraviolet Lithography Reticles For Native Defect Analysis, Adam Lyons

Legacy Theses & Dissertations (2009 - 2024)

Understanding the nature and behavior of native defects on EUV reticles, particularly their printability, is of critical importance to the successful implementation of EUV lithography for high volume manufacturing, as will be demonstrated in the upcoming chapters. Previous defect characterization work has focused on the examination of programmed defects, native defects on blank reticles, and unaligned native defects on patterned reticles. Each of these approaches has drawbacks, which will be discussed in detail, and the aim of this research is to address these deficiencies by developing a method to pattern features of interest over native defects, enabling the direct observation …


Evaluation Of Chemical Mechanical Planarization (Cmp) For The Removal Of Surface Defects In Extreme Ultraviolet Lithography (Euvl) Mask Substrates, Bradley Halligan Wood Jan 2012

Evaluation Of Chemical Mechanical Planarization (Cmp) For The Removal Of Surface Defects In Extreme Ultraviolet Lithography (Euvl) Mask Substrates, Bradley Halligan Wood

Legacy Theses & Dissertations (2009 - 2024)

A modified CMP process was investigated and developed with the goal of removing surface defects (nanoscale depressions or `pits') from quartz mask blank substrates. Initially, quartz glass wafers were evaluated to observe surface roughness and defect introduction due to low down-force CMP processing. Following analysis of quartz glass wafers subjected to such processing it was determined that a CMP-based processing for pit removal of maskblank substrates was potentially viable. Consequently, a specially-designed mask carrier for investigating and developing CMP-based defect removal from EUV maskblank substrates was mounted on a Strasbaugh 6DS-SP CMP laboratory tool. A series of experiments was performed …


Development Of A Gas-Based Spectral Filter For Carbon Dioxide Laser Produced Plasma Extreme Ultraviolet Sources, Chimaobi Mbanaso Jan 2012

Development Of A Gas-Based Spectral Filter For Carbon Dioxide Laser Produced Plasma Extreme Ultraviolet Sources, Chimaobi Mbanaso

Legacy Theses & Dissertations (2009 - 2024)

Currently, extreme ultraviolet (EUV) lithography is a promising next generation lithography technique for enabling the scaling of device features beyond 22 nm. Out-of-band radiation in EUV exposure tools remains one of the critical issues that must be addressed before the implementation of this lithography technique for high volume manufacturing. Laser produced plasmas which use a carbon dioxide (CO2) drive laser pulse are more likely to be used to generate EUV radiation because of their scalability to higher source power. The out-of-band spectrum at the intermediate focus of EUV sources that use a CO2 laser produced plasma is …


The Development And Simulation Of Piezoelectric Surface Acoustic Wave Devices For Euv Mask Particle Removal, Anthony Rae Davis Jan 2012

The Development And Simulation Of Piezoelectric Surface Acoustic Wave Devices For Euv Mask Particle Removal, Anthony Rae Davis

Legacy Theses & Dissertations (2009 - 2024)

Extreme Ultraviolet Lithography (EUVL) is a critical semiconductor fabrication process which uses 13.5 nanometer wavelength light reflected from a mask to print features on various wafers used for IC fabrication. An extensive amount of research is currently underway to make EUVL prevalent in efforts to allow for efficient sub-22 nm feature size fabrication. A number of challenges must be overcome before EUVL usage becomes feasible in terms of cost, wafer output, reliability, and throughput. One notable challenge in EUVL is the removal of mask contamination. EUV masks are required to be free of contamination to ensure precise feature printing. Particles …


Effects Of Radiation-Induced Carbon Contamination On The Printing Performance Of Extreme Ultraviolet Masks, Yu-Jen Fan Jan 2011

Effects Of Radiation-Induced Carbon Contamination On The Printing Performance Of Extreme Ultraviolet Masks, Yu-Jen Fan

Legacy Theses & Dissertations (2009 - 2024)

This dissertation investigates one of the remaining issues for extreme ultraviolet (EUV) lithography, the effects of radiation induced carbon contamination on the printing performance of patterned EUV masks. The impact of carbon contamination on EUV masks is significant due to the throughput loss and potential effects on imaging performance, and occurs when multilayer surfaces are exposed to EUV radiation with residual carbonaceous species present. Current carbon contamination research is primarily focused on the lifetime of the multilayer surfaces, determined by reflectivity loss and reduced throughput in EUV exposure tools. However, contamination on patterned EUV masks can cause additional effects on …


Extreme Ultraviolet Photoresists : Film Quantum Yields And Ler Of Thin Film Resists, Craig D. Higgins Jan 2011

Extreme Ultraviolet Photoresists : Film Quantum Yields And Ler Of Thin Film Resists, Craig D. Higgins

Legacy Theses & Dissertations (2009 - 2024)

Extreme ultraviolet (EUV) is the leading candidate for a commercially viable solution for next generation lithography. The development of EUV chemically amplified photoresists and processes are critical to the future lithographic requirements of the microelectronics industry. To meet the necessary requirements for both integrated circuit (IC) specifications and cost, the resolution, line-edge roughness (LER) and sensitivity all need to be reduced. Unfortunately, a fundamental trade-off has been observed between these three crucial elements. We have predicted that the best way to obtain the required resolution, line-edge roughness and sensitivity (RLS) is to create more acid molecules per photon absorbed. This …


Fluorinated Acid Amplifiers For Extreme Ultraviolet Lithography, Seth Aaron Kruger Jan 2011

Fluorinated Acid Amplifiers For Extreme Ultraviolet Lithography, Seth Aaron Kruger

Legacy Theses & Dissertations (2009 - 2024)

Extreme ultraviolet lithography (EUV) is a promising candidate for next generation lithography. Although EUV has great potential there are still many challenges that must be solved before the technology can be implemented in the high volume manufacturing of semiconductor devices. The lithographic performance of EUV photoresists is one aspect that requires improvement. Particularly, EUV resists need simultaneous improvements in three properties: resolution, line-edge-roughness and sensitivity. The incorporation of acid amplifiers (AAs) in resists is one method to improve all three properties.


A Study Of Reticle Non-Flatness Induced Image Placement Error In Extreme Ultraviolet Lithography, Sudharshanan Raghunathan Jan 2010

A Study Of Reticle Non-Flatness Induced Image Placement Error In Extreme Ultraviolet Lithography, Sudharshanan Raghunathan

Legacy Theses & Dissertations (2009 - 2024)

As the semiconductor industry continues scaling devices to smaller sizes, the need for next generation lithography technology for fabricating these small structures has always been at the forefront. Over the past few years, conventional optical lithography technology which has adopted a series of resolution enhancement techniques to support the scaling needs is expected to run out of steam in the near future. Extreme Ultra Violet lithography (EUVL) is being actively pursued by the semiconductor industry as one of the most promising next generation lithographic technologies. Most of the issues unique to EUVL arise from the use of 13.5 nm light …