Open Access. Powered by Scholars. Published by Universities.®

Computer Engineering Commons

Open Access. Powered by Scholars. Published by Universities.®

2013

Computer and Systems Architecture

University of Massachusetts Amherst

Articles 1 - 1 of 1

Full-Text Articles in Computer Engineering

Low Cost Dynamic Architecture Adaptation Schemes For Drowsy Cache Management, Nitin Prakash Jan 2013

Low Cost Dynamic Architecture Adaptation Schemes For Drowsy Cache Management, Nitin Prakash

Masters Theses 1911 - February 2014

Energy consumption and speed of execution have long been recognized as conflicting requirements for processor design. In this work, we have developed a low-cost dynamic architecture adaptation scheme to save leakage power in caches. This design uses voltage scaling to implement drowsy caches. The importance of a dynamic scheme for managing drowsy caches, arises from the fact that not only does cache behavior change from one application to the next, but also during different phases of execution within the same application. We discuss various implementations of our scheme that provide a tradeoff between granularity of control and design complexity. …