Open Access. Powered by Scholars. Published by Universities.®

Engineering Commons

Open Access. Powered by Scholars. Published by Universities.®

Electrical and Computer Engineering

Utah State University

Series

2016

Computing

Articles 1 - 1 of 1

Full-Text Articles in Engineering

Boostnoc: Power Efficient Network-On-Chip Architecture For Near Threshold Computing, Chidhambaranathan Rajamanikkam, Rajesh Jayashankarashridevi, Koushik Chakraborty, Sanghamitra Roy Nov 2016

Boostnoc: Power Efficient Network-On-Chip Architecture For Near Threshold Computing, Chidhambaranathan Rajamanikkam, Rajesh Jayashankarashridevi, Koushik Chakraborty, Sanghamitra Roy

Electrical and Computer Engineering Faculty Publications

While near threshold design space provides a promising approach towards energy-efficient computing, it is plagued by sub-optimal performance. Application characteristics and hardware non-idealities of conventional architectures (optimized for the nominal voltage) prevent us from fully leveraging the potential of NTC systems. Further, the popular approach of increasing the computational core count to compensate for the performance loss severely burdens the on-chip communication fabric with an increased communication demand. In this work, we quantitatively analyze the performance bottleneck createdby a conventional NoC architecture in many-core NTC systems. To reclaim the performance lost due to a sub-optimal NoC, we propose BoostNoC - …