Open Access. Powered by Scholars. Published by Universities.®

Engineering Commons

Open Access. Powered by Scholars. Published by Universities.®

Articles 1 - 30 of 30

Full-Text Articles in Engineering

Development Of Mems-Based Corrosion Sensor, Feng Pan Dec 2012

Development Of Mems-Based Corrosion Sensor, Feng Pan

Graduate Theses and Dissertations

This research is to develop a MEMS-based corrosion sensor, which is used for monitoring uniform, galvanic corrosion occurring in infrastructures such as buildings, bridges. The corrosion sensor is made up of the composite of micro/nano metal particles with elastomers. The mechanism of corrosion sensor is based on the mass transport of corrosive species through the sensor matrix. When the metal particles in the matrix corrode, the electrical resistivity of the material increases due to increasing particle resistances or reduction of conducting pathways. The corrosion rate can be monitored by detecting the resistivity change in sensing elements. The life span of …


Performance And Cost Analysis Of A Structured Concrete Thermocline Thermal Energy Storage System, Matt Nicholas Strasser Dec 2012

Performance And Cost Analysis Of A Structured Concrete Thermocline Thermal Energy Storage System, Matt Nicholas Strasser

Graduate Theses and Dissertations

Increasing global energy demands and diminishing fossil fuel resources have raised increased interest in harvesting renewable energy resources. Solar energy is a promising candidate, as sufficient irradiance is incident to the Earth to supply the energy demands of all of its inhabitants. At the utility scale, concentrating solar power (CSP) plants provide the most cost-efficient method of harnessing solar energy for conversion to electrical energy. A major roadblock to the large-scale implementation of CSP plants is the lack of thermal energy storage (TES) that would allow the continued production of electricity during the absence of constant irradiance. Sensible heat TES …


Study Of Current Optocoupler Techniques And Applications For Isolation Of Sensing And Control Signals In Dc-Dc Converters, Jake Williams Dec 2012

Study Of Current Optocoupler Techniques And Applications For Isolation Of Sensing And Control Signals In Dc-Dc Converters, Jake Williams

Graduate Theses and Dissertations

There is a need for power switches that can operate at high voltage, high temperature, and high switching frequencies with low losses. Power switches fabricated from wide bandgap materials such as silicon carbide (SiC) or gallium nitride (GaN) can outperform conventional silicon switches due to material property advantages. Another common problem in grid-connected applications is the need for high voltage-isolation of gate drivers and control circuitry while operating efficiently at the high switching frequencies, high power density, and high temperatures made possible by wide bandgap devices. Transformers cannot operate at the temperatures of these wide bandgap devices and a new …


Optimization Of Nonlinear Switch Cells For Switching Converters, Bradley Alan Reese Dec 2012

Optimization Of Nonlinear Switch Cells For Switching Converters, Bradley Alan Reese

Graduate Theses and Dissertations

Switch cells consist of an array of power switches and passive components which can replace the main switches alone in many power topologies, allowing reduced switching loss without altering the power topology directly. This thesis discusses the development of a switch cell topology that utilizes a saturable resonant inductor to reduce the size and power loss of the cell. Additionally, the cell transfers energy stored in the inductor into a capacitor for efficient energy storage during the cell's conduction region. This energy is then transferred back to the system when the cell turns off, thus reducing the total switching energy.


Thermal And Electrical Parasitic Modeling For Multi-Chip Power Module Layout Synthesis, Zihao Gong Dec 2012

Thermal And Electrical Parasitic Modeling For Multi-Chip Power Module Layout Synthesis, Zihao Gong

Graduate Theses and Dissertations

This thesis presents thermal and electrical parasitic modeling approaches for layout synthesis of Multi-Chip Power Modules (MCPMs). MCPMs integrate power semiconductor devices and drive electronics into a single package. As the switching frequency of power devices increases, the size of the passive components are greatly reduced leading to gains in efficiency and cost reduction. In order to increase switching frequency, electrical parasitics in MCPMs need to be reduced through tighter electronic integrations and smaller packages. As package size is decreased, temperature increases due to less heat dissipation capability. Thus, it is crucial to consider both thermal and electrical parasitics in …


Design And Analysis Of An Adaptive Asynchronous System Architecture For Energy Efficiency, Brent Michael Hollosi Dec 2012

Design And Analysis Of An Adaptive Asynchronous System Architecture For Energy Efficiency, Brent Michael Hollosi

Graduate Theses and Dissertations

Power has become a critical design parameter for digital CMOS integrated circuits. With performance still garnering much concern, a central idea has emerged: minimizing power consumption while maintaining performance. The use of dynamic voltage scaling (DVS) with parallelism has shown to be an effective way of saving power while maintaining performance. However, the potency of DVS and parallelism in traditional, clocked synchronous systems is limited because of the strict timing requirements such systems must comply with. Delay-insensitive (DI) asynchronous systems have the potential to benefit more from these techniques due to their flexible timing requirements and high modularity. This dissertation …


Plasmonic And Photonic Designs For Light Trapping In Thin Film Solar Cells, Liming Ji Dec 2012

Plasmonic And Photonic Designs For Light Trapping In Thin Film Solar Cells, Liming Ji

Graduate Theses and Dissertations

Thin film solar cells are promising to realize cheap solar energy. Compared to conventional wafer cells, they can reduce the use of semiconductor material by 90%. The efficiency of thin film solar cells, however, is limited due to insufficient light absorption. Sufficient light absorption at the bandgap of semiconductor requires a light path more than 10x the thickness of the semiconductor. Advanced designs for light trapping are necessary for solar cells to absorb sufficient light within a limited volume of semiconductor. The goal is to convert the incident light into a trapped mode in the semiconductor layer.

In this dissertation, …


The Development And Packaging Of A High-Density, Three-Phase, Silicon Carbide (Sic) Motor Drive, Jared Hornberger Dec 2012

The Development And Packaging Of A High-Density, Three-Phase, Silicon Carbide (Sic) Motor Drive, Jared Hornberger

Graduate Theses and Dissertations

Technology advances within the power electronics field are resulting in systems characterized by higher operating efficiencies, reduced footprint, minimal form factor, and decreasing mass. In particular, these attributes and characteristics are being inserted into numerous consumer applications, such as light-emitting diode lighting, compact fluorescent lighting, smart phones, and tablet PCs, to industrial applications that include hybrid, electric, and plug-in electric vehicles and more electric aircraft. To achieve the increase in energy efficiency and significant reduction in size and mass of these systems, power semiconductor device manufacturers are developing silicon carbide (SiC) semiconductor technology.

In this dissertation, the author discusses the …


A Silicon Carbide Based Solid-State Fault Current Limiter For Modern Power Distribution Systems, Erik Darnell Johnson Dec 2012

A Silicon Carbide Based Solid-State Fault Current Limiter For Modern Power Distribution Systems, Erik Darnell Johnson

Graduate Theses and Dissertations

The fault current limiter represents a developing technology which will greatly improve the reliability and stability of the power grid. By reducing the magnitude of fault currents in distribution systems, fault current limiters can alleviate much of the damage imposed by these events. Solid-state fault current limiters in particular offer many improved capabilities in comparison to the power system protection equipment which is currently being used for fault current mitigation. The use of silicon carbide power semiconductor devices in solid-state fault current limiters produces a system that would help to advance the infrastructure of the electric grid.

A solid-state fault …


Topics On Light-Emitting-Diode Driver Research, Jaber Hasan Dec 2012

Topics On Light-Emitting-Diode Driver Research, Jaber Hasan

Graduate Theses and Dissertations

In this dissertation, light-emitting-diode (LED) drivers are investigated for efficiency issues related to driving Red-Green-Blue (RGB) pixels and multiple LED strings in parallel. A high-efficiency digitally controlled RGB LED driver was designed for driving a 3x3 RGB LED display panel. A multiplexer was used to sense the voltage drop across the current controllers. This driver maintained a minimum drive voltage across the RGB LED pixels required to keep it in regulation leading to a reduction of unwanted power losses in the RGB LED pixels by selecting the minimum drop across the current-controllers as the reference voltage of the digital controller. …


Energy And Spectral Efficient Wireless Communications, Guoqing Zhou Dec 2012

Energy And Spectral Efficient Wireless Communications, Guoqing Zhou

Graduate Theses and Dissertations

Energy and spectrum are two precious commodities for wireless communications. How to improve the energy and spectrum efficiency has become two critical issues for the designs of wireless communication systems. This dissertation is devoted to the development of energy and spectral efficient wireless communications. The developed techniques can be applied to a wide range of wireless communication systems, such as wireless sensor network (WSN) designed for structure health monitoring (SHM), medium access control (MAC) for multi-user systems, and cooperative spectrum sensing in cognitive radio systems.

First, to improve the energy efficiency in SHM WSN, a new ultra low power (ULP) …


Computational Design Of The Electrical And Mechanical Performance Of Steerable Mems Antennas, Morgan Andrew Roddy Dec 2012

Computational Design Of The Electrical And Mechanical Performance Of Steerable Mems Antennas, Morgan Andrew Roddy

Graduate Theses and Dissertations

This thesis describes the origins, improvements, and variations of a broadband microwave antenna that can be beam-steered by a micro-electromechanical system (MEMS). The steerable MEMS antenna of this work was comprised of a planar antenna on top of a Silicon membrane. The membrane is etched to create a gimbal hinge structure and a platform which supported the antenna and gave it one or two degrees of freedom of rotation. The antennas presented were broadband and fed by a coplanar waveguide (CPW) transmission line which traversed the hinge structure. The antenna's orientation in space was designed to be changed through electrostatic …


A Silicon Carbide Linear Voltage Regulator For High Temperature Applications, Javier Antonio Valle Mayorga Aug 2012

A Silicon Carbide Linear Voltage Regulator For High Temperature Applications, Javier Antonio Valle Mayorga

Graduate Theses and Dissertations

Current market demands have pushed the capabilities of silicon to the edge. High temperature and high power applications require a semiconductor device to operate reliably in very harsh environments. This situation has awakened interests in other types of semiconductors, usually with a higher bandgap than silicon's, as the next venue for the fabrication of integrated circuits (IC) and power devices. Silicon Carbide (SiC) has so far proven to be one of the best options in the power devices field.

This dissertation presents the first attempt to fabricate a SiC linear voltage regulator. This circuit would provide a power management option …


Flexible Circuits For Aerospace Applications With Special Emphasis On Rf Connectors, Abhishek Nareshraj Singh Aug 2012

Flexible Circuits For Aerospace Applications With Special Emphasis On Rf Connectors, Abhishek Nareshraj Singh

Graduate Theses and Dissertations

The current work focused on the study of flexible electronic circuits for use in aerospace applications with emphasis on RF Connectors. The electrical and mechanical performance of the flexible circuits was studied and compared to a standard coaxial cable for feasibility study in avionics space. Also, Anisotropic Conductive Films (ACF) are studied for connecting the flexible RF connectors and their performance studied for electrical and mechanical behavior with change in bonding parameters.


Comparison Of Various Pipelined And Non-Pipelined Scl 8051 Alus, Jingyi Zhao Aug 2012

Comparison Of Various Pipelined And Non-Pipelined Scl 8051 Alus, Jingyi Zhao

Graduate Theses and Dissertations

This paper describes the development of an 8-bit SCL 8051 ALU with two versions: SCL 8051 ALU with nsleep and sleep signals and SCL 8051 ALU without nsleep. Both versions have combinational logic (C/L), registers, and completion components, which all utilize slept gates. Both three-stage pipelined and non-pipelined designs were examined for both versions. The four designs were compared in terms of area, speed, leakage power, average power and energy per operation. The SCL 8051 ALU without nsleep is smaller and faster, but it has greater leakage power. It also has lower average power, and less energy consumption than …


Ultra-Low Voltage Digital Circuits And Extreme Temperature Electronics Design, Aaron J. Arthurs Aug 2012

Ultra-Low Voltage Digital Circuits And Extreme Temperature Electronics Design, Aaron J. Arthurs

Graduate Theses and Dissertations

Certain applications require digital electronics to operate under extreme conditions e.g., large swings in ambient temperature, very low supply voltage, high radiation. Such applications include sensor networks, wearable electronics, unmanned aerial vehicles, spacecraft, and energyharvesting systems. This dissertation splits into two projects that study digital electronics supplied by ultra-low voltages and build an electronic system for extreme temperatures. The first project introduces techniques that improve circuit reliability at deep subthreshold voltages as well as determine the minimum required supply voltage. These techniques address digital electronic design at several levels: the physical process, gate design, and system architecture. This dissertation analyzes …


Fabrication And Characterization Of Thinner Solid-State Nanopores, Denis Forbi Tita Aug 2012

Fabrication And Characterization Of Thinner Solid-State Nanopores, Denis Forbi Tita

Graduate Theses and Dissertations

Solid State nanopores that are fabricated by the ion beam sculpting process and electron beam drilling have shown great promise as a sensing device for DNA and protein molecules. Even though biological pores such as the alpha-Haemolysin have been in use for quite some time, the use of solid state Nanopores in single biomolecule detection has been on the rise since the mid 1990s. Solid State nanopores have an advantage over biological pores in that they are more robust, stable, and can be sculpted to any desired size for use in translocation experiments. One of the major challenges in Nanopore …


Delay Insensitive Ternary Logic Utilizing Cmos And Cntfet, Ravi Sankar Parameswaran Nair Aug 2012

Delay Insensitive Ternary Logic Utilizing Cmos And Cntfet, Ravi Sankar Parameswaran Nair

Graduate Theses and Dissertations

As digital circuit design continues to evolve due to progress of semiconductor processes well into the sub 100nm range, clocked architectures face limitations in a number of cases where clockless asynchronous architectures require substantially less power, generate less noise, and produce less electromagnetic interference (EMI). This dissertation develops the Delay Insensitive Ternary Logic (DITL) asynchronous design paradigm that combines the designs aspects of similar Dual-Rail asynchronous paradigms and Boolean logic to create a single wire per bit, three voltage signaling and logic scheme.

DITL is designed at the transistor level using multi-threshold CMOS and carbon nanotube (CNT) FETs to develop …


Molecular Dynamics Study Of Diffusion Of O2 Penetrates In Uncrosslinked Polydimethysiloxane (Pdms), Crosslinked Pdms, And Pdms-Based Nanocomposites, Varun Ullal May 2012

Molecular Dynamics Study Of Diffusion Of O2 Penetrates In Uncrosslinked Polydimethysiloxane (Pdms), Crosslinked Pdms, And Pdms-Based Nanocomposites, Varun Ullal

Graduate Theses and Dissertations

Molecular dynamics simulations are used to study diffusion of O2 molecules in pure polydimethysiloxane (PDMS), crosslinked PDMS, and PDMS-based nanocomposites. The PDMS chains and penetrates are modeled using a hybrid interatomic potential which treats the Si-O atoms along the chain backbone explicitly while coarse-graining the methyl side groups and penetrates. By tracking the diffusion of penetrates in the system and subsequently computing their mean-squared displacement, diffusion coefficients are obtained. In pure PDMS models of varying molecular weight, diffusivity of the O22 penetrates is found to have an inverse relationship with chain length. Simulation models with longer chains …


Plasma-Assisted Molecular Beam Epitaxial Growth Of Indium Nitride For Future Device Fabrication, Steven Paul Minor May 2012

Plasma-Assisted Molecular Beam Epitaxial Growth Of Indium Nitride For Future Device Fabrication, Steven Paul Minor

Graduate Theses and Dissertations

The need for energy conservation has heightened the search for new materials that can reduce energy consumption or produce energy by the means of photovoltaic cells. III-nitride alloys show promise for these applications due to their generally good transport properties and ability to withstand high power applications. Along with these, this family of semiconductor alloys has a direct bandgap energy range (0.7-6.2 eV) which spans the entire visible spectrum and encompasses a large portion of the available solar spectrum. Of the three root III-nitride semiconductors, AlN, GaN, and InN, InN has only recently become attainable epitaxially with qualities good enough …


Use Of Ultra High Vacuum Plasma Enhanced Chemical Vapor Deposition For Graphene Fabrication, Shannen Adcock May 2012

Use Of Ultra High Vacuum Plasma Enhanced Chemical Vapor Deposition For Graphene Fabrication, Shannen Adcock

Graduate Theses and Dissertations

Graphene, what some are terming the "new silicon", has the possibility of revolutionizing technology through nanoscale design processes. Fabrication of graphene for device processing is limited largely by the temperatures used in conventional deposition. High temperatures are detrimental to device design where many different materials may be present. For this reason, graphene synthesis at low temperatures using plasma-enhanced chemical vapor deposition is the subject of much research. In this thesis, a tool for ultra-high vacuum plasma-enhanced chemical vapor deposition (UHV-PECVD) and accompanying subsystems, such as control systems and alarms, are designed and implemented to be used in future graphene growths. …


Ultra-Low Power And Radiation Hardened Asynchronous Circuit Design, Liang Zhou May 2012

Ultra-Low Power And Radiation Hardened Asynchronous Circuit Design, Liang Zhou

Graduate Theses and Dissertations

This dissertation proposes an ultra-low power design methodology called bit-wise MTNCL for bit-wise pipelined asynchronous circuits, which combines multi-threshold CMOS (MTCMOS) with bit-wise pipelined NULL Convention Logic (NCL) systems. It provides the leakage power advantages of an all high-Vt implementation with a reasonable speed penalty compared to the all low-Vt implementation, and has negligible area overhead. It was enhanced to handle indeterminate standby states. The original MTNCL concept was enhanced significantly by sleeping Registers and Completion Logic as well as Combinational circuits to reduce area, leakage power, and energy per operation.

This dissertation also develops an architecture that allows NCL …


Design Of A High Dynamic Range Cmos Variable Gain Amplifier For Wireless Sensor Networks, Yue Yu May 2012

Design Of A High Dynamic Range Cmos Variable Gain Amplifier For Wireless Sensor Networks, Yue Yu

Graduate Theses and Dissertations

This thesis presents the design, simulation, layout and testing results for a dB-linear, high dynamic gain range CMOS variable gain amplifier (VGA). The design adopts a new approximated exponential equation called the pseudo exponential equation to implement the dB-linear function. The proposed VGA is designed to have an extremely wide gain variation, small chip area, low supply voltage, and controllable dynamic gain range. The gain variation of the 3-stage VGA is implemented by a voltage to current converter. It controls the bias currents of each VGA cell, so that the output gain of each cell can be controlled. In order …


Experimental Study Of Novel Materials And Module For Cryogenic (4k) Superconducting Multi-Chip Modules, Ranjith John May 2012

Experimental Study Of Novel Materials And Module For Cryogenic (4k) Superconducting Multi-Chip Modules, Ranjith John

Graduate Theses and Dissertations

The objectives of this proposal are to understand the science and technology of interfaces in the packaging of superconducting electronic (SCE) multichip modules (MCMs) at 4 K. The thermal management issue of the current SCE-MCMs was examined and the package assembly was optimized. A novel thermally conducting and electrically insulating nano-engineered polymer was developed for the thermal management of SCE-MCMs for 4 K cryogenic packaging. Finally, the nano-engineered polymer was integrated as underfill in a SCE-MCM and the thermal and electrical performance of SCE-MCM was demonstrated at 4 K.

Niobium based superconducting electronics (SCE) are the fastest known digital logic …


Characterization And Modeling Of 4h-Sic Low Voltage Mosfets And Power Mosfets, Mihir Mudholkar May 2012

Characterization And Modeling Of 4h-Sic Low Voltage Mosfets And Power Mosfets, Mihir Mudholkar

Graduate Theses and Dissertations

The integration of low voltage and high voltage circuits on SiC has profound applications. SiC power devices have proved their superiority in terms of high temperature operation, faster switching frequencies and larger power densities when compared with Si power devices. The control of SiC power devices however, lies in the hands of low voltage circuits built on Si. Thus, there exists a separation in the overall system between the low voltage and high voltage side, which increases system cost, weight and reduces efficiency. With the advancement in low voltage SiC processing technology, low voltage control circuits can be made on …


Design Of An Rf Cmos Power Amplifier For Wireless Sensor Networks, Hua Pan May 2012

Design Of An Rf Cmos Power Amplifier For Wireless Sensor Networks, Hua Pan

Graduate Theses and Dissertations

The Power Amplifier (PA) is the last Radio Frequency (RF) building block in a transmitter, directly driving an antenna. The low power RF input signal of the PA is amplified to a significant power RF output signal by converting DC power into RF power. Since the PA consumes a majority of the power, efficiency plays one of the most important roles in a PA design. Designing an efficient, fully integrated RF PA that can operate at low supply voltage (1.2V), low power, and low RF frequency (433MHz) is a major challenge. The class E Power Amplifier, which is one type …


Implementation Of Orthogonal Frequency Division Multiplexing With Fpga, Qi Hao Yang May 2012

Implementation Of Orthogonal Frequency Division Multiplexing With Fpga, Qi Hao Yang

Graduate Theses and Dissertations

In recent years, there have been dramatic shifts in cellular and telecommunication industries. As smartphones are dominating on the cellphone market, more and more people use these mobile devices to access internet either through third generation network or IEEE802.11 wireless local area network. Orthogonal frequency division multiplexing (OFDM) has been widely used in IEEE802.11 wireless local area network and fourth generation network. This paper will focus on the design and implementation of an Orthogonal Frequency Division Multiplexing system on field-programmable gate array (FPGA). The major components of an OFDM system include a modulator, an N-input inverse Fast Fourier Transform (IFFT), …


Silicon Germanium Sram And Rom Designs For Wide Temperature Range Space Applications, Matthew Barlow May 2012

Silicon Germanium Sram And Rom Designs For Wide Temperature Range Space Applications, Matthew Barlow

Graduate Theses and Dissertations

This thesis presents a design flow from specifications and feature requirements to embeddable blocks of SRAM and ROM designs from 64 bytes to 1 kilobyte that are suitable for lunar environments. The design uses the IBM SiGe 5AM BiCMOS 0.5 micron process for a synchronous memory system capable of operating at a clock frequency of 25 MHz. Radiation mitigation techniques are discussed and implemented to harden the design against total ionizing dose (TID), single-event upset (SEU), and single-event latch-up (SEL). The memory arrays are also designed to operate over the wide temperature range of -180 °C to 125 °C. Design, …


High Frequency Characterization Of Carbon Nanotube Networks For Device Applications, Emmanuel Decrossas May 2012

High Frequency Characterization Of Carbon Nanotube Networks For Device Applications, Emmanuel Decrossas

Graduate Theses and Dissertations

This work includes the microwave characterization of carbon nanotubes (CNTs) to design new CNTs-based high frequency components. A novel developed method to extract the electrical properties over a broad microwave frequency band from 10 MHz to 50 GHz of carbon nanotubes (CNTs) in a powder form is performed. The measured scattering parameters (S-parameters) with a performance network analyzer are compared to the simulated one obtained from an in-house computed mode matching technique (MMT). An optimized first order gradient method iteratively changes the unknown complex permittivity parameters to map the simulated S-parameters with the measured one until convergence criteria are satisfied. …


Fabrication Of Vertical Silicon Nanowires Through Metal Assisted Deposition, Matthew Garett Young May 2012

Fabrication Of Vertical Silicon Nanowires Through Metal Assisted Deposition, Matthew Garett Young

Graduate Theses and Dissertations

Controlled and ordered growth of Si nanowires through a low temperature fabrication method compatible with CMOS processing lines is a highly desirable replacement to future electronic fabrication technologies as well as a candidate for a low cost route to inexpensive photovoltaics. This stems from the fact that traditional CMOS based electronics are hitting physical barriers that are slowing the Moore's Law trend as well as the demand for an inexpensive solar cell technology that can obtain grid parity. A fractional factorial growth study is presented that compares the growth of Au and Al catalyzed Si nanowires at temperatures ranging from …