Open Access. Powered by Scholars. Published by Universities.®

Engineering Commons

Open Access. Powered by Scholars. Published by Universities.®

Articles 1 - 14 of 14

Full-Text Articles in Engineering

Mechanistic Investigation Of Antimony Carboxylate Photoresists For Euv Lithography, Michael Murphy Jan 2019

Mechanistic Investigation Of Antimony Carboxylate Photoresists For Euv Lithography, Michael Murphy

Legacy Theses & Dissertations (2009 - 2024)

In 2019, Extreme Ultraviolet (EUV) lithography begins its integration into high volume manufacturing to replace 193-nm lithography at key steps in the fabrication of integrated circuits. To achieve the requirements of the 7- and 5-nm nodes, a new photoresist technology is required to replace traditional chemically-amplified photoresists (CAR). One novel technology incorporates metal atoms with high EUV absorptivity into the photoresist. In this work, we describe the development, evaluation and mechanistic investigation of triorganoantimony(V) dicarboxylate complexes as novel photoresists for EUV lithography.


Partitioning Of Defect Sources And Defects Reduction In Euv Mask Blank Multilayer Deposition, Alin O. Antohe Jan 2019

Partitioning Of Defect Sources And Defects Reduction In Euv Mask Blank Multilayer Deposition, Alin O. Antohe

Legacy Theses & Dissertations (2009 - 2024)

Extreme ultraviolet lithography (EUVL) is the next-generation lithography technology and is slated to replace 193-nanometer argon fluoride (ArF) lithography. EUVL uses 13.5-nanometer wavelength light to expose the photoresist. In doing so it enables the technological achievement of 20-nanometer half-pitch circuits which cannot be achieved with conventional 193-nanometer optical lithography.


Secondary Electron Interactions In Exposures Of Euv Photoresists, Steven Grzeskowiak Jan 2019

Secondary Electron Interactions In Exposures Of Euv Photoresists, Steven Grzeskowiak

Legacy Theses & Dissertations (2009 - 2024)

The microelectronic industry’s movement toward smaller feature sizes has necessitated a shift to extreme ultraviolet (EUV) lithography to enable cost-effective patterning of sub 20-nm features. However, this shift from 193-nm lithography (6.4 eV) to EUV (13.5 nm, 92 eV) poses significant obstacles, such that photolithography is now operating in an energy range above the electron binding energies of common atomic species in photoresists. This significant energy increase means the chemical reactions happening within operate in the realm of radiation chemistry instead of photochemistry since the observed reactions are due almost entirely to the action of photoelectrons as they dissipate their …


Organometallic Carboxylate Resists For Euv With High Sensitivity, James Passarelli May 2015

Organometallic Carboxylate Resists For Euv With High Sensitivity, James Passarelli

Nanoscale Science & Engineering (discontinued with class year 2014)

We have developed organometallic carboxylate compounds [RnM(O2CR’)2] capable of acting as negative-tone EUV resists. Overall, the best and fastest resists contain antimony, are pentavalent and the carboxylate group contains a polymerizable olefin (e.g. acrylate, methacrylate or styrenecarboxylate). Evidence suggests that high sensitivity is achieved through the polymerization of olefins in the exposed region. We have performed a systematic sensitivity study of molecules of the type RnM(O2CR’)2 where we have studied seven R groups, four main group metals (M), and three polymerizable carboxylate groups (O2CR’). We found that the greatest predictor of sensitivity of the RnSb(O2CR’)2 resists is their level of …


Nanoparticle Generation And Interactions With Surfaces In Vacuum Systems, Yashdeep Khopkar Jan 2015

Nanoparticle Generation And Interactions With Surfaces In Vacuum Systems, Yashdeep Khopkar

Legacy Theses & Dissertations (2009 - 2024)

Extreme ultraviolet lithography (EUVL) is the most likely candidate as the next generation technology beyond immersion lithography to be used in high volume manufacturing in the semiconductor industry. One of the most problematic areas in the development process is the fabrication of mask blanks used in EUVL. As the masks are reflective, there is a chance that any surface aberrations in the form of bumps or pits could be printed on the silicon wafers. There is a strict tolerance to the number density of such defects on the mask that can be used in the final printing process. Bumps on …


Characterization Of Extreme Ultraviolet Lithography Photoresists Using Advanced Metrology And Fitting Techniques, Genevieve Kane Jan 2014

Characterization Of Extreme Ultraviolet Lithography Photoresists Using Advanced Metrology And Fitting Techniques, Genevieve Kane

Legacy Theses & Dissertations (2009 - 2024)

As extreme ultraviolet lithography (EUVL) prepares to be incorporated into high volume manufacturing, many challenges must be addressed. Among these challenges, a need for photoresist improvement exists. The work described here will look into some of the problems and challenges facing EUV resists, in particular out-of-band (OOB) wavelengths of light and their interaction with photoresists. Studies have been completed on the effect of out-of-band light on photoresists [1]-[3]. It is imperative that solutions to suppress the deep ultraviolet (DUV) OOB light be incorporated into next generation EUV production tools due to concerns of decreased performance of lithography, and an increase …


Novel Resist Systems For Euv Lithography : Ler, Chain-Scission, Nanoparticle And More, Brian Cardineau Jan 2013

Novel Resist Systems For Euv Lithography : Ler, Chain-Scission, Nanoparticle And More, Brian Cardineau

Legacy Theses & Dissertations (2009 - 2024)

Extreme Ultraviolet (EUV) lithography is currently the best option for replacing 193-nm lithography in future IC fabrication. For EUV to be successful, however, there are a number of challenges that must be overcome. Current resist designs struggle to meet the demands of future lithography nodes. We propose the best way to overcome these obstacles is through the design of novel resist systems.


Local Area Mask Patterning Of Extreme Ultraviolet Lithography Reticles For Native Defect Analysis, Adam Lyons Jan 2013

Local Area Mask Patterning Of Extreme Ultraviolet Lithography Reticles For Native Defect Analysis, Adam Lyons

Legacy Theses & Dissertations (2009 - 2024)

Understanding the nature and behavior of native defects on EUV reticles, particularly their printability, is of critical importance to the successful implementation of EUV lithography for high volume manufacturing, as will be demonstrated in the upcoming chapters. Previous defect characterization work has focused on the examination of programmed defects, native defects on blank reticles, and unaligned native defects on patterned reticles. Each of these approaches has drawbacks, which will be discussed in detail, and the aim of this research is to address these deficiencies by developing a method to pattern features of interest over native defects, enabling the direct observation …


Fluorinated Acid Amplifiers For Extreme Ultraviolet Lithography, Seth Aaron Kruger Jan 2011

Fluorinated Acid Amplifiers For Extreme Ultraviolet Lithography, Seth Aaron Kruger

Legacy Theses & Dissertations (2009 - 2024)

Extreme ultraviolet lithography (EUV) is a promising candidate for next generation lithography. Although EUV has great potential there are still many challenges that must be solved before the technology can be implemented in the high volume manufacturing of semiconductor devices. The lithographic performance of EUV photoresists is one aspect that requires improvement. Particularly, EUV resists need simultaneous improvements in three properties: resolution, line-edge-roughness and sensitivity. The incorporation of acid amplifiers (AAs) in resists is one method to improve all three properties.


Effects Of Radiation-Induced Carbon Contamination On The Printing Performance Of Extreme Ultraviolet Masks, Yu-Jen Fan Jan 2011

Effects Of Radiation-Induced Carbon Contamination On The Printing Performance Of Extreme Ultraviolet Masks, Yu-Jen Fan

Legacy Theses & Dissertations (2009 - 2024)

This dissertation investigates one of the remaining issues for extreme ultraviolet (EUV) lithography, the effects of radiation induced carbon contamination on the printing performance of patterned EUV masks. The impact of carbon contamination on EUV masks is significant due to the throughput loss and potential effects on imaging performance, and occurs when multilayer surfaces are exposed to EUV radiation with residual carbonaceous species present. Current carbon contamination research is primarily focused on the lifetime of the multilayer surfaces, determined by reflectivity loss and reduced throughput in EUV exposure tools. However, contamination on patterned EUV masks can cause additional effects on …


Laser Plasma Radiation Studies For Droplet Sources In The Extreme Ultraviolet, Reuvani Kamtaprasad Jan 2010

Laser Plasma Radiation Studies For Droplet Sources In The Extreme Ultraviolet, Reuvani Kamtaprasad

Electronic Theses and Dissertations

The advancement of laboratory based Extreme Ultraviolet (EUV) radiation has escalated with the desire to use EUV as a source for semiconductor device printing. Laser plasmas based on a mass-limited target concept, developed within the Laser Plasma Laboratory demonstrate a much needed versatility for satisfying rigorous source requirements. This concept produces minimal debris concerns and allows for the attainment of high repetition rates as well as the accommodation of various laser and target configurations. This work demonstrates the generation of EUV radiation by creating laser plasmas from mass-limited targets with indium, tin, and antimony doped droplets. Spectral emission from the …


A Study Of Reticle Non-Flatness Induced Image Placement Error In Extreme Ultraviolet Lithography, Sudharshanan Raghunathan Jan 2010

A Study Of Reticle Non-Flatness Induced Image Placement Error In Extreme Ultraviolet Lithography, Sudharshanan Raghunathan

Legacy Theses & Dissertations (2009 - 2024)

As the semiconductor industry continues scaling devices to smaller sizes, the need for next generation lithography technology for fabricating these small structures has always been at the forefront. Over the past few years, conventional optical lithography technology which has adopted a series of resolution enhancement techniques to support the scaling needs is expected to run out of steam in the near future. Extreme Ultra Violet lithography (EUVL) is being actively pursued by the semiconductor industry as one of the most promising next generation lithographic technologies. Most of the issues unique to EUVL arise from the use of 13.5 nm light …


Debris Characterization And Mitigation Of Droplet Laser Plasma Sources For Euv Lithography, Kazutoshi Takenoshita Jan 2006

Debris Characterization And Mitigation Of Droplet Laser Plasma Sources For Euv Lithography, Kazutoshi Takenoshita

Electronic Theses and Dissertations

Extreme ultraviolet lithography (EUVL) is a next generation lithographic techniques under development for fabricating semiconductor devices with feature sizes smaller than 32 nm. The optics to be used in the EUVL steppers is reflective optics with multilayer mirror coatings on each surface. The wavelength of choice is 13.5 nm determined by the optimum reflectivity of the mirror coatings. The light source required for this wavelength is derived from a hot-dense plasma produced by either a gas discharge or a laser. This study concentrate only on the laser produced plasma source because of its advantages of scalability to higher repetition rates. …


Radiation Studies Of The Tin-Doped Microscopic Droplet Laser Plasma Light Source Specific To Euv Lithography, Chiew-Seng Koay Jan 2006

Radiation Studies Of The Tin-Doped Microscopic Droplet Laser Plasma Light Source Specific To Euv Lithography, Chiew-Seng Koay

Electronic Theses and Dissertations

Extreme ultraviolet lithography(EUVL) is being developed worldwide as the next generation technology to be inserted in ~ 2009 for the mass production of IC chips with feature sizes <35 nm. One major challenge to its implementation is the development of a 13.5 nm EUV source of radiation that meets the requirements of current roadmap designs of the source of illumination in commercial EUVL scanners. The light source must be debris-free, in a free-space environment with the imaging EUV optics that must provide sufficient, narrow spectral band EUV power to print 100 wafers/hr. To meet this need, extensive studies on emission from a laser plasma source utilizing tin-doped droplet target was conducted. Presented in this work, are the many optical techniques such as spectroscopy, radiometry, and imaging, that were employed to characterize and optimize emission from the laser plasma source State of the art EUV spectrographs were employed to observe the source's spectrum under various laser irradiation conditions. Comparing the experimental spectra to those from theory, has allowed the determination of the Sn ion stages responsible for emitting into the useful EUV bandwidth. Experimental results were compared to spectral simulations obtained using Collisional-Radiative Equilibrium (CRE) model, as well. Moreover, extensive measurements surveying source emission from 2 nm to 30 nm, which is the region of the electromagnetic spectrum defined as EUV, was accomplished. Absolutely calibrated metrology was employed with the Flying Circus instrument from which the source's conversion efficiency (CE)--from laser to the useful EUV energy--was characterized under various laser irradiation conditions. Hydrodynamic simulations of the plasma expansion together with the CRE model predicted the condition at which optimum conversion could be attained. The condition was demonstrated experimentally, with the highest CE to be slightly above 2%, which is the highest value among all EUV source contenders. In addition to laser intensity, the CE was found to depend on the laser wavelength. For better understanding, this observation is compared to results from simulations. Through a novel approach in imaging, the size of the plasma was characterized by recording images of the plasma within a narrow band, around 13.5 nm. The size, approximately 100 ìm, is safely within the etendue limit set by the optical elements in the EUV scanner. Finally, the notion of irradiating the target with multiple laser beams was explored for the possibility of improving the source's conversion efficiency.