Open Access. Powered by Scholars. Published by Universities.®

Engineering Commons

Open Access. Powered by Scholars. Published by Universities.®

Utah State University

Electrical and Computer Engineering Faculty Publications

NoC

Publication Year

Articles 1 - 3 of 3

Full-Text Articles in Engineering

Fort-Nocs: Mitigating The Threat Of A Compromised Noc, Dean Michael Ancajas, Koushik Chakraborty, Sanghamitra Roy Jun 2014

Fort-Nocs: Mitigating The Threat Of A Compromised Noc, Dean Michael Ancajas, Koushik Chakraborty, Sanghamitra Roy

Electrical and Computer Engineering Faculty Publications

In this paper, we uncover a novel and imminent threat to an emerging computing paradigm: MPSoCs built with 3rd party IP NoCs. We demonstrate that a compromised NoC (C-NoC) can enable a range of security attacks with an accomplice software component. To counteract these threats, we propose Fort-NoCs, a series of techniques that work together to provide protection from a C-NoC in an MPSoC. Fort-NoCs's foolproof protection disables covert backdoor activation, and reduces the chance of a successful side-channel attack by "clouding" the information obtained by an attacker. Compared to recently proposed techniques, Fort-NoCs offers a substantially better protection with …


Hci-Tolerant Noc Router Microarchitecture, Dean Michael Ancajas, James Mccabe Nickerson, Koushik Chakraborty, Sanghamitra Roy May 2013

Hci-Tolerant Noc Router Microarchitecture, Dean Michael Ancajas, James Mccabe Nickerson, Koushik Chakraborty, Sanghamitra Roy

Electrical and Computer Engineering Faculty Publications

The trend towards massive parallel computing has necessitated the need for an On-Chip communication framework that can scale well with the increasing number of cores. At the same time, technology scaling has made transistors susceptible to a multitude of reliability issues (NBTI, HCI, TDDB). In this work, we propose an HCI-Tolerant microarchitecture for an NoC Router by manipulating the switching activity around the circuit. We find that most of the switch- ing activity (the primary cause of HCI degradation) are only concentrated in a few parts of the circuit, severely degrading some portions more than others. Our techniques increase the …


Towards Graceful Aging Degradation In Nocs Through An Adaptive Routing Algorithm, Kshitij Bhardwaj, Koushik Chakraborty, Sanghamitra Roy Jun 2012

Towards Graceful Aging Degradation In Nocs Through An Adaptive Routing Algorithm, Kshitij Bhardwaj, Koushik Chakraborty, Sanghamitra Roy

Electrical and Computer Engineering Faculty Publications

Continuous technology scaling has made aging mechanisms such as Negative Bias Temperature Instability (NBTI) and electromigration primary concerns in Network-on-Chip (NoC) designs. In this paper, we model the effects of these aging mechanisms on NoC components such as routers and links using a novel reliability metric called Traffic Threshold per Epoch (TTpE). We observe a critical need of a robust aging-aware routing algorithm that not only reduces power-performance overheads caused due to aging degradation but also minimizes the stress experienced by heavily utilized routers and links. To solve this problem, we propose an aging-aware adaptive routing algorithm and a router …